The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPGA"( http://dblp.L3S.de/Venues/FPGA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1995 (25) 1996 (23) 1997 (24) 1998 (49) 1999 (57) 2000 (41) 2001 (25) 2002 (27) 2003 (53) 2004 (68) 2005 (65) 2006 (53) 2007 (27) 2008 (47) 2009 (65) 2010 (67) 2011 (62) 2012 (57) 2013 (71) 2014 (70) 2015 (84) 2016 (68) 2017 (63) 2018 (62) 2019 (95) 2020 (85) 2021 (51) 2022 (39) 2023 (51) 2024 (44)
Publication types (Num. hits)
inproceedings(1588) proceedings(30)
Venues (Conferences, Journals, ...)
FPGA(1618)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1086 occurrences of 496 keywords

Results
Found 1618 publication records. Showing 1618 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hongyuan Ding, Miaoqing Huang An Automatic Design Flow for Hybrid Parallel Computing on MPSoCs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gerardo Soria García, Adrian Pedroza de-la-Crúz, Susana Ortega-Cisneros, Juan José Raygoza-Panduro, Eduardo Bayro-Corrochano A Hardware Implementation of a Unit for Geometric Algebra Operations With Parallel Memory Arrays (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shane T. Fleming, David B. Thomas, George A. Constantinides, Dan R. Ghica System-level Linking of Synthesised Hardware and Compiled Software Using a Higher-order Type System. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yutaka Tamiya, Yoshinori Tomita, Toshiyuki Ichiba, Kaoru Kawamura Sequence-based In-Circuit Breakpoints for Post-Silicon Debug (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhilei Chai, Jin Yu, Zhibin Wang, Jie Zhang, Haojie Zhou An Embedded FPGA Operating System Optimized for Vision Computing (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joseph G. Wingbermuehle, Ron K. Cytron, Roger D. Chamberlain Superoptimized Memory Subsystems for Streaming Applications. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Venkatasubramanian Viswanathan, Rabie Ben Atitallah, Jean-Luc Dekeyser, Benjamin Nakache, Maurice Nakache A Parallel And Scalable Multi-FPGA based Architecture for High Performance Applications (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1George A. Constantinides, Deming Chen (eds.) Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 22-24, 2015 Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seung Yeol Baik, Seokjin Jeong, Hyeong-Cheol Oh Design of a Loeffler DCT using Xilinx Vivado HLS (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aaron Severance, Joe Edwards, Guy G. F. Lemieux Wavefront Skipping using BRAMs for Conditional Algorithms on Vector Processors. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marko Jacovic, James Chacko, Doug Pfeil, Nagarajan Kandasamy, Kapil R. Dandekar FPGA Implementation of Trained Coarse Carrier Frequency Offset Estimation and Correction for OFDM Signals (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Naoto Nojiri, Lin Meng, Katsuhiro Yamazaki FPGA-based BLOB Detection Using Dual-pipelining (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xianjian Zheng, Fan Zhang, Lei Chen 0010, Zhiping Wen 0001, Yuanfu Zhao, Xuewu Li A Novel Method for FPGA Test Based on Partial Reconfiguration and Sorting Algorithm (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gorker Alp Malazgirt, Nehir Sönmez, Arda Yurdakul, Osman S. Unsal, Adrián Cristal Accelerating Complete Decision Support Queries Through High-Level Synthesis Technology (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bo Wang 0023, Leibo Liu REPROC: A Dynamically Reconfigurable Architecture for Symmetric Cryptography (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Harald Homulle, Francesco Regazzoni 0001, Edoardo Charbon 200 MS/s ADC implemented in a FPGA employing TDCs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Umer I. Cheema, Gregory Nash, Rashid Ansari, Ashfaq A. Khokhar MedianPipes: An FPGA based Highly Pipelined and Scalable Technique for Median Filtering (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alan Mishchenko, Robert K. Brayton, Wenyi Feng, Jonathan W. Greene Technology Mapping into General Programmable Cells. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Edin Kadric, David Lakata, André DeHon Impact of Memory Architecture on FPGA Energy Consumption. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tomasz S. Czajkowski Silicon Verification using High-Level Design Tools (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yutaro Ishigaki, Ning Li, Yoichi Tomioka, Akihiko Miyazaki, Hitoshi Kitazawa An FPGA-Based Accelerator for the 2D Implicit FDM and Its Application to Heat Conduction Simulations (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Travis Haroldsen, Brent E. Nelson, Brad L. Hutchings RapidSmith 2: A Framework for BEL-level CAD Exploration on Xilinx FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Makoto Miyamura, Toshitsugu Sakamoto, Yukihide Tsuji, Munehiro Tada, Naoki Banno, Koichiro Okamoto, Noriyuki Iguchi, Hiromitsu Hada 0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wentai Zhang 0001, Li Shen, Thomas Page, Guojie Luo, Peng Li 0031, Peter Maaß, Ming Jiang 0001, Jason Cong FPGA Acceleration for Simultaneous Image Reconstruction and Segmentation based on the Mumford-Shah Regularization (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alban Bourge, Olivier Muller, Frédéric Rousseau 0001 A Novel Method for Enabling FPGA Context-Switch (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen Zhang 0001, Peng Li 0031, Guangyu Sun 0003, Yijin Guan, Bingjun Xiao, Jason Cong Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Networks. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Danyal Mohammadi, Said Ahmed-Zaid, Nader Rafla 0001 Optimized Fixed-Point FPGA Implementation of SVPWM for a Two-Level Inverter (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ephrem Wu, Inkeun Cho Physical Design Space Exploration. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Qi Guo, Xuehai Zhou RapidPath: Accelerating Constrained Shortest Path Finding in Graphs on FPGA (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Peng Li 0031, Peng Zhang 0007, Louis-Noël Pouchet, Jason Cong Resource-Aware Throughput Optimization for High-Level Synthesis. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Charles Mutigwe, Johnson Kinyua, Farhad Aghdasi FiT: An Automated Toolkit for Matching Processor Architecture to Applications (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1James Arram, Wayne Luk, Peiyong Jiang Ramethy: Reconfigurable Acceleration of Bisulfite Sequence Alignment. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ren Chen, Sruja Siriyal, Viktor K. Prasanna Energy and Memory Efficient Mapping of Bitonic Sorting on FPGA. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yaoqiang Li, Pierce I-Jen Chuang, Andrew A. Kennings, Manoj Sachdev An FPGA Implementation of a Timing-Error Tolerant Discrete Cosine Transform (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammed Alawad, Mingjie Lin Energy-Efficient High-Order FIR Filtering through Reconfigurable Stochastic Processing (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Martin Langhammer, Bogdan Pasca 0001 Floating-Point DSP Block Architecture for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xu Bai, Yukihide Tsuji, Ayuka Morioka, Makoto Miyamura, Toshi Sakamoto, Munehiro Tada, Naoki Banno, Koichiro Okamoto, Noriyuki Iguchi, Hiromitsu Hada Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mingxing Tan, Steve Dai, Udit Gupta, Zhiru Zhang Mapping-Aware Constrained Scheduling for LUT-Based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita On Implementation of LUT with Large Numbers of Inputs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sanmukh R. Kuppannagari, Viktor K. Prasanna Efficient Generation of Energy and Performance Pareto Front for FPGA Designs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ryota Takasu, Yoichi Tomioka, Takashi Aoki, Hitoshi Kitazawa An FPGA Implementation of Multi-stream Tracking Hardware using 2D SIMD Array (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Myron King, Jamey Hicks, John Ankcorn Software-Driven Hardware Development. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alessandro Antonio Nacci, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto Improving the security and the scalability of the AES algorithm (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hanyang Xu, Jian Wang 0036, Meilai Jin A FPGA prototype design emphasis on low power technique. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1André DeHon Wordwidth, instructions, looping, and virtualization: the role of sharing in absolute energy minimization. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Grace Zgheib, Liqun Yang, Zhihong Huang, David Novo, Hadi Parandeh-Afshar, Haigang Yang, Paolo Ienne Revisiting and-inverter cones. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Farnaz Gharibian, Lesley Shannon, Peter Jamieson A methodology for identifying and placing heterogeneous cluster groups based on placement proximity data (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kevin E. Murray, Vaughn Betz Quantifying the cost and benefit of latency insensitive communication on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hao Liang 0003, Yi-Chung Chen, Wei Zhang 0012, Hai Li 0001 Hierarchical library-based power estimator for versatile FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fubing Mao, Yi-Chung Chen, Wei Zhang 0012, Hai Li 0001 BMP: a fast B*-tree based modular placer for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tao Ai, Mir Adnan Ali, J. Gregory Steffan, Kalin Ovtcharov, Sarmad Zulfiqar, Steve Mann 0001 Producing high-quality real-time HDR video system with FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Li, Jian Wang 0036, Jinmei Lai Novel FPGA clock network with low latency and skew (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthias Hinkfoth, Ralf Joost, Ralf Salomon Exploring duty cycle distortions along signal paths in FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rui Policarpo Duarte, Christos-Savvas Bouganis Pushing the performance boundary of linear projection designs through device specific optimisations (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhibin Wang, Wenmin Yang, Jin Yu, Zhilei Chai Implementing FPGA-based energy-efficient dense optical flow computation with high portability in C (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sen Ma, David Andrews 0001 On energy efficiency and amdahl's law in FPGA based chip heterogeneous multiprocessor systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Artjom Grudnitsky, Lars Bauer, Jörg Henkel MORP: makespan optimization for processors with an embedded reconfigurable fabric. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Cong, Muhuan Huang, Peng Zhang 0007 Combining computation and communication optimizations in system synthesis for streaming applications. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James Lamberti, Devu Manikantan Shila, Vivek Venugopal xDEFENSE: an extended DEFENSE for mitigating next generation intrusions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aaron Severance, Joe Edwards, Hossein Omidian, Guy Lemieux Soft vector processors with streaming pipelines. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Richard Dorrance, Fengbo Ren, Dejan Markovic A scalable sparse matrix-vector multiplication kernel for energy-efficient sparse-blas on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nick Ni Methodology to generate multi-dimensional systolic arrays for FPGAs using openCL (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Keita Ito, Tomokazu Yoneda, Yuta Yamato, Kazumi Hatayama, Michiko Inoue Memory block based scan-BIST architecture for application-dependent FPGA testing. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aitzan Sari, Dimitris Agiakatsikas, Mihalis Psarakis A soft error vulnerability analysis framework for Xilinx FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris A configurable mapreduce accelerator for multi-core FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Safeen Huda, Jason Helge Anderson, Hirotaka Tamura Optimizing effective interconnect capacitance for FPGA power reduction. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Xuehai Zhou, Yunji Chen, Ray C. C. Cheung Big data genome sequencing on Zynq based clusters (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hongbin Zheng, Swathi T. Gurumani, Kyle Rupnow, Deming Chen Fast and effective placement and routing directed high-level synthesis for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lee W. Lerner, Zane R. Franklin, William T. Baumann, Cameron D. Patterson Using high-level synthesis and formal analysis to predict and preempt attacks on industrial control systems. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yanzi Zhu, Peiran Suo, Kia Bazargan Binary stochastic implementation of digital logic. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Simin Xu, Suhaib A. Fahmy, Ian Vince McLoughlin Square-rich fixed point polynomial evaluation on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuhui Bai, Syed Zahid Ahmed, Bertrand Granado A power-efficient adaptive heapsort for fpga-based image coding application (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuliang Sun, Zilong Wang, Sitao Huang, Lanjun Wang, Yu Wang 0002, Rong Luo, Huazhong Yang Accelerating frequent item counting with FPGA. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1André Hahn Pereira, Vaughn Betz Cad and routing architecture for interposer-based multi-FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jasmina Vasiljevic, Paul Chow MPack: global memory optimization for stream applications in high-level synthesis. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vaughn Betz, George A. Constantinides (eds.) The 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA '14, Monterey, CA, USA - February 26 - 28, 2014 Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jian Gong, Jiahua Chen, Haoyang Wu, Fan Ye 0003, Songwu Lu, Jason Cong, Tao Wang 0004 EPEE: an efficient PCIe communication library with easy-host-integration property for FPGA accelerators (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Wang 0136, Donghoon Yeo, Muhammad Sohail 0003, Hyunchul Shin Control signal aware slice-level window based legalization method for FPGA placement (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Viktor Pus, Lukas Kekely, Tomás Závodník Using DSP blocks to compute CRC hash in FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Georgios Smaragdos, Sebastián Isaza, Martijn F. van Eijk, Ioannis Sourdis, Christos Strydis FPGA-based biophysically-meaningful modeling of olivocerebellar neurons. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joshua M. Levine, Edward A. Stott, Peter Y. K. Cheung Dynamic voltage & frequency scaling with online slack measurement. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fan Zhang, Lei Chen 0010, Wenyao Xu, Yuanfu Zhao, Zhiping Wen 0001 Coordinating routing resources for hex pips test in island-style FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lin Meng, Keisuke Matsuyama, Naoto Nojiri, Tomonori Izumi, Katsuhiro Yamazaki Pipelining FPPGA-based defect detction in FPDs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Luu, Jonathan Rose, Jason Helge Anderson Towards interconnect-adaptive packing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Mohammed Alawad, Mingjie Lin Optimally mitigating BTI-induced FPGA device aging with discriminative voltage scaling (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qian Zhang 0020, Chenfei Ma, Qiang Xu 0001 On hybrid memory allocation for FPGA behavioral synthesis (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bernhard Schmidt, Daniel Ziener, Jürgen Teich An automatic netlist and floorplanning approach to improve the MTTR of scrubbing techniques (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Devu Manikantan Shila, Vivek Venugopal Design, implementation and security analysis of hardware trojan threats in FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuxin Wang, Peng Li 0031, Jason Cong Theory and algorithm for generalized memory partitioning in high-level synthesis. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tassadaq Hussain, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Eduard Ayguadé, Mateo Valero, Santhosh Kumar Rethinagiri APMC: advanced pattern based memory controller (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jared Casper, Kunle Olukotun Hardware acceleration of database operations. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chunming Zhang, Wen Tang, Guangming Tan Accelerating massive short reads mapping for next generation sequencing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ka-Chun Lam, Wai-Chung Tang, Evangeline F. Y. Young A scalable routability-driven analytical placer with global router integration for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Monther Abusultan, Sunil P. Khatri FPGA LUT design for wide-band dynamic voltage and frequency scaled operation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pierre-Emmanuel Gaillardon, Luca Gaetano Amarù, Giovanni De Micheli A new basic logic structure for data-path computation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ce Guo, Wayne Luk Accelerating parameter estimation for multivariate self-exciting point processes. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Venkatasubramanian Viswanathan, Rabie Ben Atitallah, Jean-Luc Dekeyser, Benjamin Nakache, Maurice Nakache Redefining the role of FPGAs in the next generation avionic systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Antonio Filgueras, Eduard Gil, Daniel Jiménez-González, Carlos Álvarez 0001, Xavier Martorell, Jan Langer, Juanjo Noguera, Kees A. Vissers OmpSs@Zynq all-programmable SoC ecosystem. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sang Woo Jun, Ming Liu, Kermin Elliott Fleming, Arvind Scalable multi-access flash store for big data analytics. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Wang 0003, Xi Li 0003, Xuehai Zhou, Yunji Chen, Koen Bertels Co-processing with dynamic reconfiguration on heterogeneous MPSoC: practices and design tradeoffs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1618 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license