The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1989 (17) 1990-1991 (27) 1992-1993 (30) 1994 (19) 1995 (22) 1996 (29) 1997 (20) 1998 (24) 1999 (32) 2000 (28) 2001 (23) 2002 (34) 2003 (35) 2004 (50) 2005 (55) 2006 (50) 2007 (65) 2008 (59) 2009 (29) 2010 (19) 2011-2012 (24) 2013-2014 (29) 2015 (18) 2016 (15) 2017 (24) 2018 (27) 2019 (30) 2020 (15) 2021-2022 (32) 2023 (17)
Publication types (Num. hits)
article(219) book(1) inproceedings(675) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 769 occurrences of 468 keywords

Results
Found 898 publication records. Showing 898 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Yannick Hervé Simple Models for Complex Systems : A-FSM Template. Search on Bibsonomy FDL The full citation details ... 2003 DBLP  BibTeX  RDF
17N. Venkateswaran 0002, V. Balaji, Venkataraman Mahalingam, T. L. Rajaprabhu Analysis of Bit Transition Count for EDAC Encoded FSM. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17D. Breen, R. O'Neill, Tony D. Smith, A. Th. Schwarzbacher VLSI implementation of a TSM/FSM algorithm. Search on Bibsonomy MAVEBA The full citation details ... 2003 DBLP  BibTeX  RDF
17Khaled El-Fakih, Nina Yevtushenko 0001, Gregor von Bochmann FSM-based Re-Testing Methods. Search on Bibsonomy TestCom The full citation details ... 2002 DBLP  BibTeX  RDF
17Joerg Abke, Erich Barke A Direct Mapping System for Datapath Module and FSM Implementation into LUT-Based FPGAs . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Bengt Oelmann, Kalle Tammemäe, Margus Kruus, Mattias O'Nils Automatic FSM Synthesis for Low-power Mixed Synchronous/Asynchronous Implementation. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ik-Soon Hwang, Tae-Hyong Kim, Sung-Back Hong, Jai-Yong Lee Test selection for a nondeterministic FSM. Search on Bibsonomy Comput. Commun. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Witold Jacak, Stephan Dreiseitl, Karin Pröll, Jerzy W. Rozenblit Conflict management in multiagent robotic system: FSM and fuzzy logic approach. Search on Bibsonomy SMC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Anzhela Yu. Matrosova, K. Nikitin, Olga Goloubeva Totally Self-Checking FSM Design Based on Multilevel Synthesis Methods and FPGA Implemetation. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Witold Jacak, Karin Pröll, Stephan Dreiseitl Conflict Management in an Intelligent Multiagent Robotics System Based on FSM Approach. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Takanori Mori, K. Tokuda, Harumasa Tada, Masahiro Higuchi, Teruo Higashino A Method to Generate Conformance Test Sequences for FSM with Timer System Call. Search on Bibsonomy FORTE The full citation details ... 2001 DBLP  BibTeX  RDF
17Anzhela Yu. Matrosova, Ilya Levin, Sergey Ostanin Self-checking Synchronous FSM Network Design with Low Overhead. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Imtiaz Ahmad, Faridah M. Ali, Raza Ul-Mustafa An integrated state assignment and flip-flop selection technique for FSM synthesis. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17José C. Monteiro 0001, Arlindo L. Oliveira FSM decomposition by direct circuit manipulation applied to low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Paolo Camurati, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda The General Product Machine: a New Model for Symbolic FSM Traversal. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Klaus Feske, Steffen Rülke, Manfred Koegst FPGA based prototyping using a target driven FSM partitioning strategy. Search on Bibsonomy ICECS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Manfred Koegst, Günter Franke, Steffen Rülke, Klaus Feske Multi-Criterial State Assignment for Low Power FSM Design. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Paolo Camurati Symbolic FSM traversals based on the transition relation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Klaus Feske, Sven Mulka, Manfred Koegst, Günter Elst Technology-driven FSM partitioning for synthesis of large sequential circuits targeting lookup-table based FPGAs. Search on Bibsonomy FPL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17T. Ramalingam, Anindya Das, Krishnaiyan Thulasiraman Corrigendum to 'fault detection and diagnosis capabilities of test sequence selection methods based on the FSM model' : [Computer Comm. 18(1995) 113]. Search on Bibsonomy Comput. Commun. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Bernard Plessier, Fabio Somenzi Algorithms for approximate FSM traversal based on state space decomposition. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Juha Viskari, Risto Jokinen, Kari Hakkarainen A Generic FSM Interpreter for Embedded Systems. Search on Bibsonomy RTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Manfred Koegst, Klaus Feske, Günter Franke State assignment for FSM low power design. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Akhilesh Tyagi Entropic bounds on FSM switching. Search on Bibsonomy ISLPED The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Luciano Lavagno, Enrico Macii, Massimo Poncino, Stefano Quer, Paolo Camurati, Ellen Sentovich Enhancing FSM Traversal by Temporary Re-Encoding. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Formal Verification, Computer-Aided Design
17Lakshmikant Bhupathi, Liang-Fang Chao Dichotomy-based Model for FSM Power Minimization. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Sunil P. Khatri, Amit Narayan, Sriram C. Krishnan, Kenneth L. McMillan, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Engineering Change in a Non-Deterministic FSM Setting. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17T. Ramalingam, Anindya Das, Krishnaiyan Thulasiraman Fault Detection and Diagnosis Capabilities of Test Sequence Selection Methods Based on the FSM Model. Search on Bibsonomy Comput. Commun. The full citation details ... 1995 DBLP  BibTeX  RDF
17T. Ramalingam, Anindya Das, Krishnaiyan Thulasiraman On Testing and Diagnosis of Communication Protocols Based on the FSM Model. Search on Bibsonomy Comput. Commun. The full citation details ... 1995 DBLP  BibTeX  RDF
17Huey-Yih Wang, Robert K. Brayton Multi-level logic optimization of FSM networks. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Hsin-Chu Chen Combining SAS with FSM for Plate-bending Problems. Search on Bibsonomy PPSC The full citation details ... 1995 DBLP  BibTeX  RDF
17Teruo Higashino, Akio Nakata, Tatsuo Itoh, Kenichi Taniguchi Verification of Liveness Property for Communicating FSM's with Conditional Transitions Depending on State Visiting Numbers. Search on Bibsonomy FORTE The full citation details ... 1995 DBLP  BibTeX  RDF
17Andrzej Hlawiczka, Jacek Binda Optimized Synthesis of Self-Testable Finite State Machines (FSM) Using BIST-PST Structures in Altera Structures. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Chung-Shyan Liu, Kuo-Hua Su An FSM-based program generator for communication protocol software. Search on Bibsonomy COMPSAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Yosinori Watanabe, Robert K. Brayton State Minimization of Pseudo Non-Deterministic FSM's. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi A State Space Decomposition Algorithm for Approximate FSM Traversal. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Ming Yu Yao, Alexandre Petrenko, Gregor von Bochmann Fault Coverage Analysis in Respect to an FSM Specification. Search on Bibsonomy INFOCOM The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17D. Schin, Yinan N. Shen, Fabrizio Lombardi An Approach for UIO Generation for FSM Verification and Validation. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Chunduri Rama Mohan, Partha Pratim Chakrabarti A New Approach to Synthesis of PLA-Based FSM's. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Mahesh Mehendale, Biswadip Mitra An Integrated Approach to State Assignment and Sequential Element Selection for FSM Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Huey-Yih Wang, Robert K. Brayton Permissible Observability Relations in FSM Networks. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Wayne H. Wolf FSM decomposition for pipelined data. Search on Bibsonomy Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Franco Fummi FSM fault models impact on test performances. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Stefan Krischer The Backward Walk Approach in FSM Verification. Search on Bibsonomy CHDL The full citation details ... 1993 DBLP  BibTeX  RDF
17Wen-Lin Yang, Robert Michael Owens, Mary Jane Irwin Multi-way FSM decomposition based on interconnect complexity. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yosinori Watanabe, Robert K. Brayton The maximum set of permissible behaviors for FSM networks. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer Merging multiple FSM controllers for DFT/BIST hardware. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Huey-Yih Wang, Robert K. Brayton Input don't care sequences in FSM networks. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Paolo Camurati Exploiting Cofactoring for Efficient FSM Symbolic Traversal Based on the Transition Relation. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Teruo Higashino, Kozo Okano, Hiroshi Imajo, Kenichi Taniguchi Deriving Protocol Specifications from Service Specifications in Extended FSM Models. Search on Bibsonomy ICDCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Raphaël Rochet, Régis Leveugle, Gabriele Saucier Analysis and Comparison of Fault Tolerant FSM Architectures Based on SEC Codes. Search on Bibsonomy DFT The full citation details ... 1993 DBLP  BibTeX  RDF
17Zafar Hasan, Maciej J. Ciesielski Functional verification and simulation of FSM networks. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17S. Raman 0001, M. M. Hasan A PLA-Based FSM Design Technique. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Bernard Plessier, Fabio Somenzi Algorithms for Approximate FSM Traversal. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Akiko Nakahara, Hitoshi Aida, Tadao Saito Performance evaluation of communication networks based on FSM specifications of protocols. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Paolo Camurati, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Cross-fertilizing FSM verification techniques and sequential diagnosis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Alexandre Petrenko, Nina Yevtushenko 0001 Test Suite Generation from a FSM with a Given Type of Implementation Errors. Search on Bibsonomy PSTV The full citation details ... 1992 DBLP  BibTeX  RDF
17Biswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri Estimating the Complexity of Synthesized Designs from FSM Specifications. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17T. Ramalingam, Anindya Das, Krishnaiyan Thulasiraman On Conformance Test and Fault Resolution of Protocols based on FSM Model. Search on Bibsonomy NETWORKS The full citation details ... 1992 DBLP  BibTeX  RDF
17M. Puchhammer, R. Schlöglhofer A Rule-oriented FSM Design System with Prototyping Capabilities. Search on Bibsonomy ICLP The full citation details ... 1991 DBLP  BibTeX  RDF
17Biswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri A Flexible Scheme for State Assignment Based on Characteristics of the FSM. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Seon-Woong Jeong, Bernard Plessier, Gary D. Hachtel, Fabio Somenzi Variable Ordering and Selection for FSM Traversal. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Carl Pixley, Gary Beihl, Ernesto Pacas-Skewes Automatic Derivation of FSM Specification to Implementation Encoding. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Maciej J. Ciesielski, Jia-Jye Shen, Marc Davio A Unified Approach to Input-Output Encoding for FSM State Assignment. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Martin Geiger, Thomas Müller-Wipperfürth FSM Decomposition Revisited: Algebraic Structure Theory Applied to MCNC Benchmark FSMs. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Seh-Woong Jeong, Bernard Plessier, Eric M. Schwarz, Fabio Somenzi ATPG Aspects of FSM Verification. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17A. Butti, Aldo Lora, G. C. Macchi The FSM and Message Communication: Impact on Software Testing. Search on Bibsonomy ICC (3) The full citation details ... 1984 DBLP  BibTeX  RDF
17M. J. Meyer, Prathima Agrawal, R. G. Pfister A VLSI FSM design system. Search on Bibsonomy DAC The full citation details ... 1984 DBLP  BibTeX  RDF
17Sheue-Ling Hwang, Che-Wei Chang On-Line Communication Interface Design for Visually Impaired Users. Search on Bibsonomy HCI (7) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-line meeting, FSM-GOMS, auditory interface, Visually impaired users
17M. Ümit Uyar, Samrat S. Batth, Yu Wang 0012, Mariusz A. Fecko Algorithms for Modeling a Class of Single Timing Faults in Communication Protocols. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Finite State Machine (FSM), Extended Finite State Machine (EFSM), Timed EFSM, Fault Modeling, Conformance Testing, Timers
17Neil Walkinshaw, Kirill Bogdanov 0002, Ken Johnson Evaluation and Comparison of Inferred Regular Grammars. Search on Bibsonomy ICGI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF State-Merging, FSM Testing, Evaluation, Model-Based Testing, Precision and Recall
17Rajeev Kumar 0004, Amit Gupta, B. S. Pankaj, Mrinmoy Ghosh, P. P. Chakrabarti 0001 Post-compilation optimization for multiple gains with pattern matching. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction set FSM, peephole optimization, post-compilation optimization, pattern matching
17Florentin Ipate, Mike Holcombe Testing Conditions for Communicating Stream X-machine Systems. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Communicating (extended) fsm, Communicating (stream) X-machines, Design for test-conditions, Testing
17Samrat Goswami, Anupam Chanda, D. Roy Choudhury Generation of an Ordered Sequence of Test Vectors for Single State Transition Faults in Large Sequential Machines. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Testing FSM, Single State Transition Fault Model, Sequential Machine
17Franco Fummi, Donatella Sciuto A Hierarchical Test Generation Approach for Large Controllers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical FSM, sequential circuits, automatic test pattern generation, Functional testing, functional fault model
17Diana Marculescu, Radu Marculescu, Massoud Pedram Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Probabilistic FSM analysis, high-order Markov chains, power estimation
17Harry Hsieh, Alberto L. Sangiovanni-Vincentelli Modeling micro-controller peripherals for high-level co-simulation and synthesis. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF micro-controllers, FSM synthesis, co-simulation, peripherals, co-synthesis
17Santonu Sarkar, Anupam Basu, Arun K. Majumdar Analyzing Controllability of a Hardware Circuit for its Reuse. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware circuit controllability, external controllability, FSM model, finite state machines, finite state machine model
17Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara An Approach To The Synthesis Of Synchronizable Finite State Machines With Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronizable finite state machines, sequential circuits synthesis, extended synchronizing sequence, scan inputs, normal inputs, MCNC'91 benchmark FSM, minimum-length extended synchronizing sequence, test generation, finite state machines, DFT, heuristic algorithm, minimization, partial scan, synthesis for testability, state assignment, state transition, state encoding
17Yatin Vasant Hoskote, Jacob A. Abraham, Donald S. Fussell Automated verification of temporal properties specified as state machines in VHDL. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated verification methodology, correctness specifications, Viper microprocessor, Mealy FSM, compatible states, formal specification, formal verification, high level synthesis, finite state machines, VHDL, sequential circuits, state machines, hardware description languages, microprocessor chips, synchronous sequential circuit, temporal properties, liveness properties
9Fatih Turkmen, Bruno Crispo, Pietro Mazzoleni A service-based context management framework for cross-enterprise collaboration. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SOA, mobile devices, context
9Lang Xie, Poul E. Heegaard, Jin Zhang, Jie Xiang 0001 System state aware admission control scheme for IEEE 802.16e WiMAX-based healthcare system. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IEEE 802.16e WiMAX, admission control, healthcare
9Alexandros Papangelis, Georgios Galatas, Shahina Ferdous, Sarantos Kapidakis, Fillia Makedon Computer aided sensor placement and automatic state definition. Search on Bibsonomy PETRA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decision support, information fusion, sensor placement, assistive environment, graphical tool
9Grzegorz Borowik, Tadeusz Luba, Bogdan J. Falkowski Logic synthesis method for pattern matching circuits implementation in FPGA with embedded memories. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Pablo Rabanal, Ismael Rodríguez, Fernando Rubio 0001 A Formal Approach to Heuristically Test Restorable Systems. Search on Bibsonomy ICTAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Xueqiang Gong, Jing Liu 0012, Miaomiao Zhang, Jueliang Hu Formal Analysis of Services Compatibility. Search on Bibsonomy COMPSAC (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Lucas Vespa, Mini Mathew, Ning Weng P3FSM: Portable Predictive Pattern Matching Finite State Machine. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Grzegorz Borowik, Tadeusz Luba Decomposing Pattern Matching Circuit. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Pattern matching, Finite state machine, Decomposition, Logic synthesis, Embedded memory, Address generator
9Hai Yu, Michael Nicolaidis, Lorena Anghel An effective approach to detect logic soft errors in digital circuits based on GRAAL. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Guy-Vincent Jourdan, Gregor von Bochmann On Testing 1-Safe Petri Nets. Search on Bibsonomy TASE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yi Lu 0002, Serge Vaudenay Cryptanalysis of an E0-like Combiner with Memory. Search on Bibsonomy J. Cryptol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF E0, Stream cipher, Bluetooth, Correlation, Combiner
9Kui Cai 0001, Kees A. Schouhamer Immink A general construction of constrained parity-check codes for optical recording. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Asli Celikyilmaz, I. Burhan Türksen Enhanced Fuzzy System Models With Improved Fuzzy Clustering Algorithm. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Claudio Talarico, David Freiberger, Tyler Jones, Brandon Hopkins, Charlie Herr, Min-Sung Koh, Esteban Rodriguez-Marek A Hybrid Approach for Modeling and Assessing Performance of Embedded Systems in a Biologically Critical Application. Search on Bibsonomy ECBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Per Kristian Lehre, Xin Yao 0001 Crossover Can Be Constructive When Computing Unique Input Output Sequences. Search on Bibsonomy SEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ramy Ragab Hassen, Lhouari Nourine, Farouk Toumani Protocol-Based Web Service Composition. Search on Bibsonomy ICSOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jaeseung Chang, Marie-Luce Bourguet Usability framework for the design and evaluation of multimodal interaction. Search on Bibsonomy BCS HCI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modelling, interaction design, finite state machine, multimodality, usability evaluation
9Lisane B. de Brisolara, Marcio F. da S. Oliveira, Ricardo Miotto Redin, Luís C. Lamb, Luigi Carro, Flávio Rech Wagner Using UML as Front-end for Heterogeneous Software Code Generation Strategies. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9ChangRyul Yun, DongSoo Kang, YoungHwan Bae, Hanhn Cho, KyoungSon Jhang Automatic interface synthesis based on the classification of interface protocols of IPs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 898 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license