The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Taiki Uemura, Takashi Kato, Hideya Matsuyama, Keiji Takahisa, Mitsuhiro Fukuda, Kichiji Hatanaka Investigation of multi cell upset in sequential logic and validity of redundancy technique. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Armin Krieg, Johannes Grinschgl, Christian Steger, Reinhold Weiss, Josef Haid A side channel attack countermeasure using system-on-chip power profile scrambling. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Matthias Sauer 0002, Victor Tomashevich, Jörg Müller 0004, Matthew Lewis 0004, Andreas Spilla, Ilia Polian, Bernd Becker 0001, Wolfram Burgard An FPGA-based framework for run-time injection and analysis of soft errors in microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Hayk T. Grigoryan, Gurgen Harutunyan, Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian Generic BIST architecture for testing of content addressable memories. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Marco Paolieri, Riccardo Mariani Towards functional-safe timing-dependable real-time architectures. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Rance Rodrigues, Sandip Kundu On graceful degradation of microprocessors in presence of faults via resource banking. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Self-checking test circuits for latches and flip-flops. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Yuriy Shiyanovskii, Aravind Rajendran, Christos A. Papachristou A novel radiation tolerant SRAM design based on synergetic functional component separation for nanoscale CMOS. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Rshdee Alhakim, Emmanuel Simeu, Kosai Raoof Internal model control for a self-tuning Delay-Locked Loop in UWB communication systems. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Nivard Aymerich, A. Asenov, Andrew R. Brown, Ramon Canal, Binjie Cheng, Joan Figueras, Antonio González 0001, Enric Herrero, S. Markov, Miguel Miranda, Peyman Pouyan, Tanausú Ramírez, Antonio Rubio 0001, Elena I. Vatajelu, Xavier Vera, Xingsheng Wang, Paul Zuber New reliability mechanisms in memory design for sub-22nm technologies. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Matthias Sauer 0002, Alejandro Czutro, Ilia Polian, Bernd Becker 0001 Estimation of component criticality in early design steps. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Michael E. Imhof, Hans-Joachim Wunderlich Soft error correction in embedded storage elements. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Cédric Killian, Camel Tanougast, Fabrice Monteiro, Abbas Dandache Loopback output router for reliable Network on Chip. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Jaume Abella 0001, Francisco J. Cazorla, Eduardo Quiñones, Arnaud Grasset, Sami Yehia, Philippe Bonnot 0001, Dimitris Gizopoulos, Riccardo Mariani, Guillem Bernat Towards improved survivability in safety-critical systems. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Ingrid Verbauwhede The cost of cryptography: Is low budget possible? Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Ronak Salamat, Hamid R. Zarandi Fault-tolerance assessment and enhancement in SoCWire interface: A system-on-chip wire. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Enrico Costenaro, Massimo Violante, Dan Alexandrescu A new IP core for fast error detection and fault tolerance in COTS-based solid state mass memories. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44V. Prasanth, Virendra Singh, Rubin A. Parekhji Reduced overhead soft error mitigation using error control coding techniques. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Fabien Chaix, Gilles Bizot, Michael Nicolaidis, Nacer-Eddine Zergainoh Variability-aware task mapping strategies for many-cores processor chips. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Paolo Bernardi, Lyl M. Ciganda, Ernesto Sánchez 0001, Matteo Sonza Reorda An effective methodology for on-line testing of embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Navid Khoshavi, Hamid R. Zarandi, Mohammad Maghsoudloo Control-flow error recovery using commodity multi-core architecture features. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Zhaobo Zhang, Xrysovalantis Kavousianos, Yiorgos Tsiatouhas, Krishnendu Chakrabarty A BIST scheme for testing and repair of multi-mode power switches. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Kedar Karmarkar, Spyros Tragoudas Error correction encoding for multi-threshold capture mechanism. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Gabriele Boschi, Riccardo Mariani, Stefano Lorenzini A verification strategy for fault-detection and fault-tolerance circuits. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Taiga Takata, Yusuke Matsunaga A robust algorithm for pessimistic analysis of logic masking effects in combinational circuits. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Dhiego Silva, Letícia Maria Veiras Bolzani, Fabian Vargas 0001 An intellectual property core to detect task schedulling-related faults in RTOS-based embedded systems. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Zhen Wang 0001, Mark G. Karpovsky Algebraic manipulation detection codes and their applications for design of secure cryptographic devices. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Shusuke Yoshimoto, Takuro Amashita, D. Kozuwa, Taiga Takata, Masayoshi Yoshimura, Yusuke Matsunaga, Hiroto Yasuura, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto Multiple-bit-upset and single-bit-upset resilient 8T SRAM bitcell layout with divided wordline structure. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Seyab Khan, Said Hamdioui Modeling and mitigating NBTI in nanoscale circuits. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Aravind Rajendran, Yuriy Shiyanovskii, Frank Wolff, Christos A. Papachristou Noise margin, critical charge and power-delay tradeoffs for SRAM design. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Lilia Zaourar, Yann Kieffer, Arnaud Wenzel A multi-objective optimization for memory BIST sharing using a genetic algorithm. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Honorio Martín, Enrique San Millán, Luis Entrena, Julio César Hernández Castro, Pedro Peris-Lopez AKARI-X: A pseudorandom number generator for secure lightweight systems. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Daniel Sánchez 0004, Yiannakis Sazeides, Juan L. Aragón, José M. García 0001 An analytical model for the calculation of the Expected Miss Ratio in faulty caches. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Jayaram Natarajan, Shreyas Sen, Abhijit Chatterjee Real time cross-layer adaptation for minimum energy wireless image transport using bit error rate control. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Paolo Maistri Countermeasures against fault attacks: The good, the bad, and the ugly. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Luke Pierce, Spyros Tragoudas Multi-level secure JTAG architecture. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Josep Torras Flaquer, Jean-Marc Daveau, Lirida A. B. Naviner, Philippe Roche An approach to reduce computational cost in combinatorial logic netlist reliability analysis using circuit clustering and conditional probabilities. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Rafal Baranowski, Hans-Joachim Wunderlich Fail-safety in core-based system design. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Cristiana Bolchini, Chiara Sandionigi, Luca Fossati, David Merodio Codinachs A reliable fault classifier for dependable systems on SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Mikhail Baklashov An on-line memory state validation using shadow memory cloning. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Berk Sunar Rise of the hardware Trojans. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Aymen Fradi, Michael Nicolaidis, Lorena Anghel Memory BIST with address programmability. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Ronaldo Rodrigues Ferreira, Álvaro Freitas Moreira, Luigi Carro Matrix control-flow algorithm-based fault tolerance. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Dan Alexandrescu A comprehensive soft error analysis methodology for SoCs/ASICs memory instances. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Osnat Keren, Ilya Levin, Vladimir Sinelnikov Detection of Trojan HW by using hidden information on the system. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Michael Augustin, Michael Gössel, Rolf Kraemer Selective fault tolerance for finite state machines. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Michael Linder, Alfred Eder, Klaus Oberländer, Martin Huch Variations of fault manifestation during Burn-In - A case study on industrial SRAM test results. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Anna Vaskova, Celia López-Ongil, Enrique San Millán, Alejandro Jiménez-Horas, Luis Entrena Accelerating secure circuit design with hardware implementation of Diehard Battery of tests of randomness. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Anna Vaskova, Celia López-Ongil, Mario García-Valderas, Marta Portela-García, Luis Entrena Evaluation techniques for on-line testing of robust systems based on critical tasks distribution. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Jaume Abella 0001, Eduardo Quiñones, Francisco J. Cazorla, Mateo Valero, Yanos Sazeides RVC-based time-predictable faulty caches for safety-critical systems. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Eberhard Böhl, Paul Duplys Fault attack resistant deterministic random bit generator usable for key randomization. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Madalin Neagu, Liviu Miclea, Joan Figueras Unidirectional error detection, localization and correction for DRAMs: Application to on-line DRAM repair strategies. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Rance Rodrigues, Sandip Kundu On graceful degradation of chip multiprocessors in presence of faults via flexible pooling of critical execution units. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 5-7 July, 2010, Corfu, Greece Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  BibTeX  RDF
44Irith Pomeranz, Sudhakar M. Reddy Selecting state variables for improved on-line testability through output response comparison of identical circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Ali Shahabi, S. Behdad Hosseini, Hasan Sohofi, Zainalabedin Navabi A partitioning approach to improve reconfigurable neuron-inspired online BIST. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Steffen Zeidler 0001, Alexandre V. Bystrov, Milos Krstic, Rolf Kraemer On-line testing of bundled-data asynchronous handshake protocols. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Josep Altet, Diego Mateo, Eduardo Aldrete-Vidrio Thermal coupling in ICs: Applications to the test and characterization of analogue and RF circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Michael G. Dimopoulos, Alexios Spyronasios, Alkis A. Hatzopoulos Wavelet analysis of measurements for on-line testing analog & mixed-signal circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni Timing error tolerance in nanometer ICs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Samuel Evain, Yannick Bonhomme, Valentin Gherman Programmable restricted SEC codes to mask permanent faults in semiconductor memories. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44George Theodorou, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos A software-based self-test methodology for in-system testing of processor cache tag arrays. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Michael N. Skoufis, Spyros Tragoudas On-line detection of random voltage perturbations in buses with multiple-threshold receivers. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Michael Nicolaidis, Vladimir Pasca, Lorena Anghel Interconnect Built-In Self-Repair and Adaptive-Serialization (I-BIRAS) for 3D integrated systems. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Zhen Zhang, Alain Greiner, Mounir Benabdenbi Fully distributed initialization procedure for a 2D-Mesh NoC, including off-line BIST and partial deactivation of faulty components. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Steffen Tarnick Design of embedded constant weight code checkers based on averaging operations. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Sreenivas Gangadhar, Spyros Tragoudas Probabilistic methods for the impact of an SET in combinational logic. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Long Wang 0003, Zbigniew Kalbarczyk, Ravishankar K. Iyer, Arun Iyengar Checkpointing virtual machines against transient errors. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Salvatore Campagna, Massimo Violante A framework to support the design of COTS-based reliable space computers for on-board data handling. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Shyam Kumar Devarakond, Shreyas Sen, Aritra Banerjee, Vishwanath Natarajan, Abhijit Chatterjee Built-in performance monitoring of mixed-signal/RF front ends using real-time parameter estimation. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44A. Richardson Concepts for fault tolerant sensor systems. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Vitaly Ocheretny Self-checking arithmetic logic unit with duplicated outputs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Sebastià A. Bota, Gabriel Torrens, Bartomeu Alorda, Jaume Verd, Jaume Segura 0001 Cross-BIC architecture for single and multiple SEU detection enhancement in SRAM memories. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Paul Duplys, Eberhard Böhl, Wolfgang Rosenstiel Key randomization using a power analysis resistant deterministic random bit generator. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Josep Rius 0001 A method for detecting resistive opens in buses. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Martin Rozkovec, Jiri Jenícek, Ondrej Novák Application dependent FPGA testing method using compressed deterministic test vectors. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44V. Prasanth, Virendra Singh, Rubin A. Parekhji Robust detection of soft errors using delayed capture methodology. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Julio César Vázquez, Víctor H. Champac, Adriel Ziesemer, Ricardo Reis 0001, Jorge Semião, Isabel C. Teixeira, Marcelino B. Santos, João Paulo Teixeira 0001 Predictive error detection by on-line aging monitoring. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Tiago R. Balen, Marcelo Lubaszewski Radiation effects on programmable analog devices and mitigation techniques. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Georgios Karakonstantis, Charles Augustine, Kaushik Roy 0001 A self-consistent model to estimate NBTI degradation and a comprehensive on-line system lifetime enhancement technique. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Kaouthar Bousselam, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Evaluation of concurrent error detection techniques on the advanced encryption standard. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Seyab Khan, Said Hamdioui Temperature dependence of NBTI induced delay. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Yervant Zorian Test and reliability concerns for 3D-ICs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Nikolaos Minas, Ingrid De Wolf, Erik Jan Marinissen, Michele Stucchi, Herman Oprins, Abdelkarim Mercha, Geert Van der Plas, Dimitrios Velenis, Pol Marchal 3D integration: Circuit design, test, and reliability challenges. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Michel Pignol, Florence Malou, Corinne Aicardi Qualification and relifing testing for space applications applied to the agilent G-Link components. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Rodrigo Possamai Bastos, Gilles Sicard, Fernanda Lima Kastensmidt, Marc Renaudin, Ricardo Reis 0001 Evaluating transient-fault effects on traditional C-element's implementations. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Etienne Faure, Mounir Benabdenbi, François Pêcheux Distributed online software monitoring of manycore architectures. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Andreas Merentitis, Dionisis Margaris, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos SBST for on-line detection of hard faults in multiprocessor applications under energy constraints. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Dzmitry Maliuk, Haralampos-G. D. Stratigopoulos, Yiorgos Makris An analog VLSI multilayer perceptron and its application towards built-in self-test in analog circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Hyunbean Yi, Tomokazu Yoneda, Michiko Inoue, Yasuo Sato, Seiji Kajihara, Hideo Fujiwara Aging test strategy and adaptive test scheduling for SoC failure prediction. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44David R. McIntyre, Francis G. Wolff, Christos A. Papachristou, Swarup Bhunia Trustworthy computing in a multi-core system using distributed scheduling. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Zhen Wang 0001, Mark G. Karpovsky Robust FSMs for cryptographic devices resilient to strong fault injection attacks. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Claudia Rusu, Lorena Anghel, Dimiter Avresky RILM: Reconfigurable inter-layer routing mechanism for 3D multi-layer networks-on-chip. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Michael Augustin, Michael Gössel, Rolf Kraemer Reducing the area overhead of TMR-systems by protecting specific signals. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Olivier Héron, Julien Guilhemsang, Nicolas Ventroux, Alain Giulieri Analysis of on-line self-testing policies for real-time embedded multiprocessors in DSM technologies. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue An FPGA-based fail-soft system with adaptive reconfiguration. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Vladimir Pasca, Lorena Anghel, Claudia Rusu, Mounir Benabdenbi Configurable serial fault-tolerant link for communication in 3D integrated systems. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Niccolò Battezzati, Davide Serrone, Massimo Violante A new framework for the automatic insertion of mitigation structures in circuits netlists. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Sobeeh Almukhaizim, Sara Bunian, Ozgur Sinanoglu Reconfigurable low-power Concurrent Error Detection in logic circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
44Nicholas Axelos, Kiamal Z. Pekmestzi A bit level area aware cache-based architecture for memory repairs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1100 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license