The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hao Zheng 0001, Yuting Cao, Sandip Ray, Jin Yang 0006 Protocol-guided analysis of post-silicon traces under limited observability. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Venkata Yaswanth Raparti, Sudeep Pasricha Memory-aware circuit overlay NoCs for latency optimized GPGPU architectures. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sukru Burc Eryilmaz, Siddharth Joshi, Emre Neftci, Weier Wan, Gert Cauwenberghs, H.-S. Philip Wong Neuromorphic architectures with electronic synapses. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel, Leonardo Bonet Zordan An effective BIST architecture for power-gating mechanisms in low-power SRAMs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Peter Sarson Very low supply voltage room temperature test to screen low temperature soft blown fuse fails which result in a resistive bridge. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tan Chee Phang, Mohammad Harris Mokhtar, Mohd Nazim Mokhtar, Fakhrul Zaman Rokhani Time-division multiple access based intra-body communication for wearable health tracker. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dan Christiani, Cory E. Merkel, Dhireesha Kudithipudi Invited: Towards a scalable neuromorphic hardware for classification and prediction with stochastic No-Prop algorithms. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hua Xiang 0001, Lakshmi N. Reddy, Haifeng Qian, Ching Zhou, Yu-Shiang Lin, Fanchieh Yee, Andrew Sullivan, Pong-Fei Lu Gate movement for timing improvement on row based Dual-VDD designs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Victor Moroz, Joanne Huang, Reza Arghavani Transistor design for 5nm and beyond: Slowing down electrons to speed up transistors. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Victor Huang, Chenyun Pan, Dmitry Yakimets, Praveen Raghavan, Azad Naeemi Device/system performance modeling of stacked lateral NWFET logic. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hari Shanker Gupta, Satyajit Mohapatra, Nihar R. Mohapatra, Dinesh Kumar Sharma Novel design of a silicon photodetector and its integration in a 4×4 CMOS pixel array. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arunkumar Vijayakumar, Vinay C. Patil, Sandip Kundu On testing physically unclonable functions for uniqueness. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Theodore Winograd, Hassan Salmani, Hamid Mahmoodi, Houman Homayoun Preventing design reverse engineering with reconfigurable spin transfer torque LUT gates. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ji Li 0006, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram Negotiation-based resource provisioning and task scheduling algorithm for cloud systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kareem Madkour, Sarah Mohamed, Dina Tantawy, Mohab Anis Hotspot detection using machine learning. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiansong Cui, Ji Li 0006, Alireza Shafaei, Shahin Nazarian, Massoud Pedram An efficient timing analysis model for 6T FinFET SRAM using current-based method. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jizhe Zhang, Sandeep K. Gupta 0001 Yield estimation and statistical design of memristor cross-point memory systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sriram Balasubramanian, Ninad Pimparkar, Mangesh Kushare, Vinayak Mahajan, Juhi Bansal, Takashi Shimizu, Vivek Joshi, Kun Qian, Arunima Dasgupta, Karthik Chandrasekaran, Chad Weintraub, Ali Icel Near-threshold circuit variability in 14nm FinFETs for ultra-low power applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1A. Asenov, Yangang Wang, Binjie Cheng, Xingsheng Wang, Plamen Asenov, Talib Al-Ameri, Vihar P. Georgiev Nanowire transistor solutions for 5nm and beyond. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jinyoung Lee, Sunghee Yun, Jeongha Kim, Dongsoo Kang, Jeongyeol Kim, Sanghoon Lee Multiple shift-vector importance sampling method using support vector machine and clustering for high-density DRAM designs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vinay C. Patil, Arunkumar Vijayakumar, Sandip Kundu Preventing integrated circuit piracy via custom encoding of hardware instruction set. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Koki Igawa, Youhua Shi, Masao Yanagisawa, Nozomu Togawa A delay variation and floorplan aware high-level synthesis algorithm with body biasing. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ming Fan, Rong Rong, Xinwei Niu On-line harmonic-aware partitioned scheduling for real-time multi-core systems under RMS. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Javaneh Mohseni, Chenyun Pan, Azad Naeemi Performance modeling and optimization for on-chip interconnects in 3D memory arrays. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sheng-En David Lin, Partha Pratim Pande, Dae Hyun Kim 0004 Optimization of dynamic power consumption in multi-tier gate-level monolithic 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jiqin Zhou, Weigong Zhang, Keni Qiu, Xiaoyan Zhu UM-BUS: An online fault-tolerant bus for embedded systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shuang Zhu, Jingyi Song, Balaji Chellappa, Ali Enteshari, Tuo Shan, Mengxun He, Yun Chiu A smart ECG sensor with in-situ adaptive motion-artifact compensation for dry-contact wearable healthcare devices. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hemanta Kumar Mondal, Sri Harsha Gade, Raghav Kishore, Shashwat Kaushik, Sujay Deb Power efficient router architecture for wireless Network-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Divya Prasad, Chenyun Pan, Azad Naeemi Impact of interconnect variability on circuit performance in advanced technology nodes. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hengyu Zhao, Hongbin Sun 0001, Qiang Yang, Tai Min, Nanning Zheng 0001 Exploring the use of volatile STT-RAM for energy efficient video processing. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tianhong Ye, Kuan W. A. Chee Ruggedness evaluation and design improvement of automotive power MOSFETs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luca Bochi Saldanha, Christophe Bobda Sparsely connected neural networks in FPGA for handwritten digit recognition. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Brian Cline, Saibal Mukhopadhyay, Peter J. Wright, Hai Li, Vinod Viswanath, Paul Wesling, Gang Qu 0001, Ali Iranmanesh Welcome. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuanwen Huang, Prabhat Mishra 0001 Reliability and energy-aware cache reconfiguration for embedded systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Harsh N. Patel, Farah B. Yahya, Benton H. Calhoun Optimizing SRAM bitcell reliability and energy for IoT applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiantao Lu, Zhiyuan Yang 0001, Ankur Srivastava 0001 Electromigration-aware placement for 3D-ICs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Shruti Patil, Tajana Simunic Rosing Low power data-aware STT-RAM based hybrid cache architecture. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Navneet Gupta, Adam Makosiej, Andrei Vladimirescu, Amara Amara, Costin Anghel Ultra-Low-Power compact TFET Flip-Flop design for high-performance low-voltage applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amey M. Kulkarni, Youngok K. Pino, Tinoosh Mohsenin SVM-based real-time hardware Trojan detection for many-core platform. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seogoo Lee, Dongwook Lee, Kyungtae Han, Emily Shriver, Lizy K. John, Andreas Gerstlauer Statistical quality modeling of approximate hardware. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anteneh Gebregiorgis, Fabian Oboril, Mehdi Baradaran Tahoori, Said Hamdioui Instruction cache aging mitigation through Instruction Set Encoding. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Siddharth S. Bhargav, Andrew Kolb, Young H. Cho Accelerating physical level sub-component power simulation by online power partitioning. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nga Dang, Zana Ghaderi, Moonju Park, Eli Bozorgzadeh Harvesting-aware adaptive energy management in solar-powered embedded systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa In-situ Trojan authentication for invalidating hardware-Trojan functions. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fatih Karabacak, Ümit Y. Ogras, Sule Ozev Detection of malicious hardware components in mobile platforms. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kartik Acharya, Kyungwook Chang, Bon Woong Ku, Shreepad Panth, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim Monolithic 3D IC design: Power, performance, and area impact at 7nm. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Toru Nakura, Kunihiro Asada Fully automated PLL compiler generating final GDS from specification. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ayushparth Sharma, Kusum Lata Low-leakage and process-variation-tolerant write-read disturb-free 9T SRA cell using CMOS and FinFETs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Miao Hu, John Paul Strachan, Zhiyong Li, R. Stanley Williams Dot-product engine as computing memory to accelerate machine learning algorithms. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Eman El Mandouh, Amr G. Wassal Covgen: A framework for automatic extraction of functional coverage models. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Song Bian 0001, Michihiro Shintani, Shumpei Morita, Masayuki Hiromoto, Takashi Sato Nonlinear delay-table approach for full-chip NBTI degradation prediction. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Deepak-Kumar Arora, Darayus Adil Patel, Shahabuddin, Sanjay Kumar, Navin Kumar Dayani, Balwant Singh, Sylvie Naudet, Arnaud Virazel, Alberto Bosio Analysis of setup and hold margins inside silicon for advanced technology nodes. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Navid Khoshavi, Xunchao Chen, Jun Wang 0001, Ronald F. DeMara Bit-Upset Vulnerability Factor for eDRAM Last Level Cache immunity analysis. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Meng-Yen Wu, Meng-Hsueh Chiang Performance evaluation of stacked gate-all-around MOSFETs at 7 and 10 nm technology nodes. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Amimul Ehsan, Hongyu An, Zhen Zhou, Yang Yi 0002 Design challenges and methodologies in 3D integration for neuromorphic computing systems. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohamed Baker Alawieh, Fa Wang, Rouwaida Kanj, Xin Li 0001, Rajiv V. Joshi Efficient analog circuit optimization using sparse regression and error margining. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Joseph Davis, Niranjan Kulkarni, Jinghua Yang, Aykut Dengi, Sarma B. K. Vrudhula Digital IP protection using threshold voltage control. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fazal Hameed, Mehdi Baradaran Tahoori Architecting STT Last-Level-Cache for performance and energy improvement. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zheyu Liu, Fei Qiao, Qi Wei 0001, Xinghua Yang, Yi Li, Huazhong Yang An ultra-fast and low-power design of analog circuit network for DoG pyramid construction of SIFT algorithm. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Variability- and correlation-aware logical effort for near-threshold circuit design. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alireza Shafaei, Yanzhi Wang, Lizhong Chen, Shuang Chen 0001, Massoud Pedram Maximizing the performance of NoC-based MPSoCs under total power and power density constraints. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sabyasachi Deyati, Barry John Muldrey, Abhijit Chatterjee Trojan detection in digital systems using current sensing of pulse propagation in logic gates. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abhishek Roy 0002, Peter J. Grossmann, Steven A. Vitale, Benton H. Calhoun A 1.3µW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seyed Alireza Pourbakhsh, Xiaowei Chen, Dongliang Chen, Xin Wang, Na Gong, Jinhui Wang Sizing-priority based low-power embedded memory for mobile video applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Noha Gamal, Hossam A. H. Fahmy, Yehea I. Ismail, Hassan Mostafa Design guidelines for embeded NoCs on FPGAs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhong Guan, Malgorzata Marek-Sadowska AFD-based method for signal line EM reliability evaluation. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shilpa Pendyala, Srinivas Katkoori State encoding based NBTI optimization in finite state machines. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fatih Karabacak, Uwadiae Obahiagbon, Ümit Y. Ogras, Sule Ozev, Jennifer Blain Christen Making unreliable Chem-FET sensors smart via soft calibration. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Khaja Ahmad Shaik, Kiyoo Itoh 0002, Amara Amara 0.5-V 50-mV-swing 1.2-GHz 28-nm-FD-SOI 32-bit dynamic bus architecture with dummy bus. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016 Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  BibTeX  RDF
1Masahiro Kano, Toru Nakura, Kunihiro Asada Analysis and design of a triangular active charge injection for stabilizing resonant power supply noise. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Steven Paley, Tamzidul Hoque, Swarup Bhunia Active protection against PCB physical tampering. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lang Zhang, Hai Wang 0002, Sheldon X.-D. Tan Fast stress analysis for runtime reliability enhancement of 3D IC using artificial neural network. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anirban Sengupta, Saumya Bhadauria User power-delay budget driven PSO based design space exploration of optimal k-cycle transient fault secured datapath during high level synthesis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhen Meng, Song Chen 0001, Lu Huang Irregularly shaped voltage islands generation with hazard and heal strategy. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gustavo K. Contreras, Yang Zhao, Nisar Ahmed, LeRoy Winemberg, Mohammad Tehranipoor LBIST pattern reduction by learning ATPG test cube properties. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Azzedin D. Es-Sakhi, Masud H. Chowdhury Partially depleted silicon-on-ferroelectric insulator field effect transistor (PD-SOFFET). Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Saber Golanbari, Saman Kiamehr, Mehdi Baradaran Tahoori, Sani R. Nassif Analysis and optimization of flip-flops under process and runtime variations. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Deng, Yici Cai, Qiang Zhou 0001 Fast synthesis of low power clock trees based on register clustering. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marco P. Stefani, Thais Webber, Ramon Fernandes, Rodrigo Cataldo, Leticia B. Poehls, César A. M. Marcon Task partitioning optimization algorithm for energy saving and load balance on NoC-based MPSoCs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Subramanian Chebiyam, Anshuman Chandra, Rohit Kapur Designing effective scan compression solutions for industrial circuits. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1George Gonzalez, Murari Mani, Mahesh Sharma Large-scale multi-corner leakage optimization under the sign-off timing environment. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Govardhana Rao Talluri, K. K. Rakesh, Maryam Shojaei Baghini A 4-14 Gbps inductor-less adaptive linear equalizer using hybrid filter in 65 nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yanbo Niu, Anping Jiang The low power design of SM4 cipher with resistance to differential power analysis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lan Wu, Wei Zhang 0002 Cache-aware SPM allocation algorithms for hybrid SPM-cache architectures. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Woosung Lee, Keewon Cho, Jooyoung Kim, Sungho Kang Near optimal repair rate built-in redundancy analysis with very small hardware overhead. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Miroslav N. Velev, Chaoqiang Zhang, Ping Gao 0002, Alex David Groce Exploiting abstraction, learning from random simulation, and SVM classification for efficient dynamic prediction of software health problems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alireza Shafaei, Yanzhi Wang, Antonio Petraglia, Massoud Pedram Design optimization of sense amplifiers using deeply-scaled FinFET devices. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yucai Wang, Vamsy P. Chodavarapu Design of a sigma-delta modulator in standard CMOS process for wide-temperature applications. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ya-Chi Huang, Meng-Hsueh Chiang, Wei-Chou Hsu, Shiou-Ying Cheng 6-T SRAM performance assessment with stacked silicon nanowire MOSFETs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kaushik Mazumdar, Steven Bartling, Sudhanshu Khanna, Mircea R. Stan A digitally-controlled power-aware low-dropout regulator to reduce standby current drain in ultra-low-power MCU. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nga Dang, Hossein Tajik, Nikil D. Dutt, Nalini Venkatasubramanian, Eli Bozorgzadeh Orchestrated application quality and energy storage management in solar-powered embedded systems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Saurabh Sinha, Lucian Shifren, Vikas Chandra, Brian Cline, Greg Yeric, Robert C. Aitken, Bingjie Cheng, Andrew R. Brown, Craig Riddet, C. Alexandar, Campbell Millar, Asen Asenov Circuit design perspectives for Ge FinFET at 10nm and beyond. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shen Feng, Chris Driscoll, Jerediah R. Fevold, Hao Jiang, Gunar Schirner Rapid heterogeneous prototyping from Simulink. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sharad Bagri, Kelson Gent, Michael S. Hsiao Signal domain based reachability analysis in RTL circuits. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dharanidhar Dang, Biplab Patra, Rabi N. Mahapatra A 2-layer laser multiplexed photonic network-on-chip. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chenyun Pan, Praveen Raghavan, Francky Catthoor, Zsolt Tokei, Azad Naeemi Technology/circuit co-optimization and benchmarking for graphene interconnects at Sub-10nm technology node. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rajat Chauhan, Prajkta Vyavahare, Siva Kothamasu Fail-safe I/O to control RESET# pin of DDR3 SDRAM and achieve ultra-low system power. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita, Naoki Taguchi, Kentaro Iwata, Alan Mishchenko Incremental ATPG methods for multiple faults under multiple fault models. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Spandana Rachamalla, Arun Joseph, Rahul M. Rao, Diwesh Pandey Virtual logic netlist: Enabling efficient RTL analysis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license