The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISVLSI"( http://dblp.L3S.de/Venues/ISVLSI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isvlsi

Publication years (Num. hits)
2002 (26) 2003 (57) 2004 (71) 2005 (72) 2006 (88) 2007 (94) 2008 (96) 2009 (53) 2010 (110) 2011 (83) 2012 (74) 2013 (50) 2014 (109) 2015 (121) 2016 (128) 2017 (119) 2018 (134) 2019 (116) 2020 (105) 2021 (81) 2022 (90) 2023 (53)
Publication types (Num. hits)
inproceedings(1908) proceedings(22)
Venues (Conferences, Journals, ...)
ISVLSI(1930)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 79 occurrences of 73 keywords

Results
Found 1930 publication records. Showing 1930 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Shuyu Kong, Jie Gu 0001, Hai Zhou 0001 Memristor-Based Clock Design and Optimization with In-Situ Tunability. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dimitrios Balobas, Nikos Konofaos High-Performance and Energy-Efficient 256-Bit CMOS Priority Encoder. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amr M. S. Tosson, Shimeng Yu, Mohab H. Anis, Lan Wei Analysis of RRAM Reliability Soft-Errors on the Performance of RRAM-Based Neuromorphic Systems. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Deliang Fan, Shaahin Angizi, Zhezhi He In-Memory Computing with Spintronic Devices. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tannu Sharma, Kenneth S. Stevens Physical Design Variation in Relative Timed Asynchronous Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vasilios I. Kelefouras, Georgios Keramidas, Nikolaos S. Voros Cache Partitioning + Loop Tiling: A Methodology for Effective Shared Cache Management. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sumit Khalapure, Siddharth R. K., Kumar Y. B. Nithin, M. H. Vasantha Design of 5-Bit Flash ADC Using Multiple Input Standard Cell Gates for Large Input Swing. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stephan Friedrichs, Attila Kinali Efficient Metastability-Containing Multiplexers. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Taylor J. L. Whitaker, Christophe Bobda CAPSL: The Component Authentication Process for Sandboxed Layouts. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marcelo Ruaro, Henrique Martins Medina, Fernando Gehm Moraes SDN-Based Circuit-Switching for Many-Cores. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hua Fan 0001, Franco Maloberti, Dagang Li, Daqian Hu, Yuanjun Cen, Hadi Heidari Capacitor Mismatch Calibration Technique to Improve the SFDR of 14-Bit SAR ADC. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lakshmi Bhamidipati, Bhoopal Gunna, Houman Homayoun, Avesta Sasan A Power Delivery Network and Cell Placement Aware IR-Drop Mitigation Technique: Harvesting Unused Timing Slacks to Schedule Useful Skews. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sam Gianelli, Tosiron Adegbija PACT: Priority-Aware Phase-Based Cache Tuning for Embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Georgios Keramidas, Nikolaos S. Voros, Christos P. Antonopoulos, Fynn Schwiegelshohn, Philipp Wehner, Diana Göhringer, Evaggelinos P. Mariatos Profile-Driven Power Optimizations for AAL Robots: Maximizing Robots Idle Time by Offloading Monitoring Workload to Dedicated Hardware Components. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alex Pappachen James, Olga Krestinskaya, Joshin John Mathew Unified Model for Contrast Enhancement and Denoising. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1S. M. Mayur, Siddharth R. K., Kumar Y. B. Nithin, M. H. Vasantha Design of Low Power 4-Bit 400MS/s Standard Cell Based Flash ADC. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Leonel Acunha Guimaraes, Rodrigo Possamai Bastos, Laurent Fesquet Detection of Layout-Level Trojans by Monitoring Substrate with Preexisting Built-in Sensors. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shvan Karim, Jim Harkin, Liam McDaid, Bryan Gardiner, Junxiu Liu, David M. Halliday, Andy M. Tyrrell, Jon Timmis, Alan G. Millard, Anju P. Johnson Assessing Self-Repair on FPGAs with Biologically Realistic Astrocyte-Neuron Networks. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rakshit Pathak, Saurav Dash, Anand Kumar Mukhopadhyay, Arindam Basu, Mrigank Sharad Low Power Implantable Spike Sorting Scheme Based on Neuromorphic Classifier with Supervised Training Engine. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jens Rettkowski, Diana Göhringer Data Stream Processing in Networks-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Keunyeol Park, Ohoon Kwon, Hyunseob Noh, Minhyun Jin, Minkyu Song Design of an Asynchronous Detector with Priority Encoding Technique. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hao Liu, Quentin L. Meunier, Alain Greiner Decoupling Translation Lookaside Buffer Coherence from Cache Coherence. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amit Karel, Florence Azaïs, Mariane Comte, Jean-Marc Gallière, Michel Renovell, Keshav Singh Comprehensive Study for Detection of Weak Resistive Open and Short Defects in FDSOI Technology. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Fawaz, Farid N. Najm Parallel Simulation-Based Verification of RC Power Grids. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ziyad Almohaimeed, Mihai Sima Secured-by-Design FPGA against Early Evaluation. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xingyuan Tong, Kangkang Wei A Fully Integrated Fast-Response LDO Voltage Regulator with Adaptive Transient Current Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hela Belhadj Amor, Hamed Sheibanyrad, Frédéric Pétrot A Meta-Routing Method to Create Multiple Virtual Logical Networks on a Single Hardware NoC. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brice Colombier, Lilian Bossuet, David Hély Centrality Indicators for Efficient and Scalable Logic Masking. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 2017 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2017, Bochum, Germany, July 3-5, 2017 Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  BibTeX  RDF
1Tung Thanh Le, Dan Zhao 0001, Magdy A. Bayoumi Efficient Reconfigurable Global Network-on-Chip Designs towards Heterogeneous CPU-GPU Systems: An Application-Aware Approach. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hossein Sabaghian Bidgoli, Payman Behnam, Bijan Alizadeh, Zainalabedin Navabi Reducing Search Space for Fault Diagnosis: A Probability-Based Scoring Approach. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chia-Chun Tsai Minimizing Critical Access Time for 3D Data Bus Based on Inserted Bus Switches and Repeaters. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sri Harsha Gade, Sakshi Garg 0002, Sujay Deb OFDM Based High Data Rate, Fading Resilient Transceiver for Wireless Networks-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kaige Jia, Zheyu Liu, Fei Qiao, Xinjun Liu, Qi Wei 0001, Huazhong Yang AICNN: Implementing Typical CNN Algorithms with Analog-to-Information Conversion Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Oana Boncalo, Alexandru Amaricai Ultra High Throughput Unrolled Layered Architecture for QC-LDPC Decoders. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saru Vig, Tan Yng Tzer, Guiyuan Jiang, Siew-Kei Lam Customizing Skewed Trees for Fast Memory Integrity Verification in Embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Farhana Parveen, Zhezhi He, Shaahin Angizi, Deliang Fan Hybrid Polymorphic Logic Gate with 5-Terminal Magnetic Domain Wall Motion Device. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiankai Su, Cunxi Yu, Atif Yasin, Maciej J. Ciesielski Formal Verification of Truncated Multipliers Using Algebraic Approach and Re-Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Static Compaction by Merging of Seeds for LFSR-Based Test Generation. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Scott Lerner, Baris Taskin WT-CTS: Incremental Delay Balancing Using Parallel Wiring Type For CTS. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lei Xie 0005, Hoang Anh Du Nguyen, Jintao Yu, Ali Kaichouhi, Mottaqiallah Taouil, Mohammad AlFailakawi, Said Hamdioui Scouting Logic: A Novel Memristor-Based Logic Design for Resistive Computing. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tomás Grimm, Djones Lettnin, Michael Hübner 0001 Semiformal Verification of Software-Controlled Connections. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Himanshu Thapliyal, T. S. S. Varun, S. Dinesh Kumar Adiabatic Computing Based Low-Power and DPA-Resistant Lightweight Cryptography for IoT Devices. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ming Yan, Yici Cai, Chenguang Wang 0003, Qiang Zhou 0001 An Effective Power Grid Optimization Approach for the Electromigration Reliability. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Garrett S. Rose, Md. Badruddoja Majumder, Mesbah Uddin Exploiting Memristive Crossbar Memories as Dual-Use Security Primitives in IoT Devices. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammed Al Kadi, Benedikt Janßen, Michael Hübner 0001 Floating-Point Arithmetic Using GPGPU on FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sangamesh Kodge, Himanshu Chaudhary, Mrigank Sharad Low Power Image Acquisition Scheme Using On-Pixel Event Driven Halftoning. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subha Koley, Prasun Ghosal An IoT Enabled Real-Time Communication and Location Tracking System for Vehicular Emergency. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shuo Li, Xiaolin Xu, Wayne P. Burleson CCATDC: A Configurable Compact Algorithmic Time-to-Digital Converter. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Greeshma R, Anoop V. K, B. Venkataramani A Novel Opamp and Capacitor Sharing 10 Bit 20 MS/s Low Power Pipelined ADC in 0.18µm CMOS Technology. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tino Flenker, Jan Malburg, Görschwin Fey, Serhiy Avramenko, Massimo Violante, Matteo Sonza Reorda Towards Making Fault Injection on Abstract Models a More Accurate Tool for Predicting RT-Level Effects. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mayukh Sarkar, Prasun Ghosal Performing Mathematics Using DNA: Complex Number Arithmetic Using Sticker Model. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Martin Van Leussen, Jos Huisken, Lei Wang, Hailong Jiao, José Pineda de Gyvez Reconfigurable Support Vector Machine Classifier with Approximate Computing. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shaahin Angizi, Zhezhi He, Farhana Parveen, Deliang Fan RIMPA: A New Reconfigurable Dual-Mode In-Memory Processing Architecture with Spin Hall Effect-Driven Domain Wall Motion Device. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Melanie Brocard, Benoît Mathieu, Jean-Philippe Colonna, Cristiano Santos, Claire Fenouillet-Béranger, Cao-Minh Vincent Lu, Gerald Cibrario, Laurent Brunet, Perrine Batude, François Andrieu, Sébastien Thuries, Olivier Billoint Transistor Temperature Deviation Analysis in Monolithic 3D Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Edgard Muñoz-Coreas, Himanshu Thapliyal Design of Quantum Circuits for Galois Field Squaring and Exponentiation. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shirshendu Das, Hemangee K. Kapoor Latency Aware Block Replacement for L1 Caches in Chip Multiprocessor. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhou Zhao, Xinlu Chen, Ashok Srivastava, Lu Peng 0001, Saraju P. Mohanty Compact Modeling of Graphene Barristor for Digital Integrated Circuit Design. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rakhi R., Abhijeet D. Taralkar, M. H. Vasantha, Kumar Y. B. Nithin A 0.5 V Low Power OTA-C Low Pass Filter for ECG Detection. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shang-Rong Fang, Cheng-Wei Tai, Rung-Bin Lin On Benchmarking Pin Access for Nanotechnology Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Raghava Katreepalli, Themistoklis Haniotakis High Speed Power Efficient Carry Select Adder Design. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudeendra Kumar K, Sauvagya Ranjan Sahoo, Abhishek Mahapatra, Ayas Kanta Swain, Kamala Kanta Mahapatra Analysis of Side-Channel Attack AES Hardware Trojan Benchmarks against Countermeasures. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vasil Pano, Yuqiao Liu 0001, Isikcan Yilmaz, Ankit More, Baris Taskin, Kapil R. Dandekar Wireless NoCs Using Directional and Substrate Propagation Antennas. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ming-Yu Huang, Ren-Yuan Huang, Ro-Min Weng A 0.3V Low Cost Low Power 24 GHz Low Noise Amplifier with Body Bias Technology. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Süleyman Savas, Erik Hertz, Tomas Nordström, Zain Ul-Abdin Efficient Single-Precision Floating-Point Division Using Harmonized Parabolic Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sparsh Mittal, Rajendra Bishnoi, Fabian Oboril, Haonan Wang, Mehdi Baradaran Tahoori, Adwait Jog, Jeffrey S. Vetter Architecting SOT-RAM Based GPU Register File. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hamzeh Ahangari, Ihsen Alouani, Özcan Özturk 0001, Smaïl Niar Reconfigurable Hardened Latch and Flip-Flop for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mehdi Baradaran Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Sophiane Senni, Jad Mohdad, Frédérick Mailly, Lionel Torres, Pascal Benoit, Pascal Nouet, Rui Ma, Martin Kreißig, Frank Ellinger, Kotb Jabeur, Pierre Vanhauwaert, Gregory di Pendina, Guillaume Prenat GREAT: HeteroGeneous IntegRated Magnetic tEchnology Using Multifunctional Standardized sTack. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Venkata P. Yanambaka, Saraju P. Mohanty, Elias Kougianos, Prabha Sundaravadivel, Jawar Singh Reconfigurable Robust Hybrid Oscillator Arbiter PUF for IoT Security Based on DL-FET. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jannis Stoppe, Oliver Keszöcze, Maximilian Luenert, Robert Wille, Rolf Drechsler BioViz: An Interactive Visualization Engine for the Design of Digital Microfluidic Biochips. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyunmin Kim, Seokhie Hong, Bart Preneel, Ingrid Verbauwhede STBC: Side Channel Attack Tolerant Balanced Circuit with Reduced Propagation Delay. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tong Zhang, Daniel G. Saab, Jacob A. Abraham Automatic Assertion Generation for Simulation, Formal Verification and Emulation. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Mathieu Gross, Andreas Zankl, Georg Sigl Exploiting Bus Communication to Improve Cache Attacks on Systems-on-Chips. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zarrin Tasnim Sworna, Mubin Ul Haque, Hafiz Md. Hasan Babu, Lafifa Jamal, Ashis Kumer Biswas An Efficient Design of an FPGA-Based Multiplier Using LUT Merging Theorem. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ali H. Hassan, Esraa M. Hamed, Eman Badr, Omar Elsharqawy, Tawfik Ismail, S. R. I. Gabran, Yehea Ismail, Hassan Mostafa A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zipeng Li, Kelvin Yi-Tse Lai, Krishnendu Chakrabarty, Tsung-Yi Ho, Chen-Yi Lee Sample Preparation on Micro-Electrode-Dot-Array Digital Microfluidic Biochips. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Shafique 0001, Rehan Hafiz, Muhammad Usama Javed, Sarmad Abbas, Lukás Sekanina, Zdenek Vasícek, Vojtech Mrazek Adaptive and Energy-Efficient Architectures for Machine Learning: Challenges, Opportunities, and Research Roadmap. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marco Rabozzi, Rolando Brondolin, Giuseppe Natale, Emanuele Del Sozzo, Michael Hübner 0001, Andreas Brokalakis, Catalin Bogdan Ciobanu, Dirk Stroobandt, Marco Domenico Santambrogio A CAD Open Platform for High Performance Reconfigurable Systems in the EXTRA Project. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yong Chen 0014, Emil Matús, Gerhard P. Fettweis Combined TDM and SDM Circuit Switching NoCs with Dedicated Connection Allocator. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sarah Azimi, Luca Sterpone Micro Latch-Up Analysis on Ultra-Nanometer VLSI Technologies: A New Monte Carlo Approach. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lucas Machado, Antoni Roca Perez, Jordi Cortadella Voltage Noise Analysis with Ring Oscillator Clocks. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lita Yang, Boris Murmann Approximate SRAM for Energy-Efficient, Privacy-Preserving Convolutional Neural Networks. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hamed Hossein-Talaee, Ali Jahanian 0001 Layout Vulnerability Reduction against Trojan Insertion Using Security-Aware White Space Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tuba Ayhan, Firat Kula, Mustafa Altun A Power Efficient System Design Methodology Employing Approximate Arithmetic Units. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luca Cremona, William Fornaciari, Andrea Marchese, Michele Zanella, Davide Zoni DENA: A DVFS-Capable Heterogeneous NoC Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dan Cristian Turicu, Octavian Cret, Lucia Vacariu Serial ATA Commands Logger for Security Monitoring on FPGA Devices. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Haider Alrudainy, Andrey Mokhov, Fei Xia, Alex Yakovlev Ultra-Low Energy Data Driven Computing Using Asynchronous Micropipelines and Nano-Electro-Mechanical Relays. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Magnus Sundal, Ricardo Chaves Efficient FPGA Implementation of the SHA-3 Hash Function. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ankur Limaye, Tosiron Adegbija A Workload Characterization for the Internet of Medical Things (IoMT). Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ruizhe Zhao, Wayne Luk, Xinyu Niu, Huifeng Shi, Haitao Wang Hardware Acceleration for Machine Learning. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohd. Tasleem Khan, Shaik Rafi Ahamed A New High Performance VLSI Architecture for LMS Adaptive Filter Using Distributed Arithmetic. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Venkata P. Yanambaka, Saraju P. Mohanty, Elias Kougianos, Prabha Sundaravadivel, Jawar Singh Dopingless Transistor Based Hybrid Oscillator Arbiter Physical Unclonable Function. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1George Lentaris, Ioannis Stratakos, Ioannis Stamoulias, Konstantinos Maragos 0001, Dimitrios Soudris, Manolis I. A. Lourakis, Xenophon Zabulis, David González Arjona Project HIPNOS: Case Study of High Performance Avionics for Active Debris Removal in Space. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chenxi Dai, Tosiron Adegbija Exploiting Configurability as a Defense against Cache Side Channel Attacks. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yimai Peng, Haobo Zhao, Xun Sun, Chen Sun A Side-Channel Attack Resistant AES with 500Mbps, 1.92pJ/Bit PVT Variation Tolerant True Random Number Generator. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Salmen Mraihi, El Mehdi Boujamaa, Cyrille Dray, Jacques-Olivier Klein Offset Analysis and Design Optimization of a Dynamic Sense Amplifier for Resistive Memories. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Srivatsa Rangachar Srinivasa, Karthik Mohan, Wei-Hao Chen, Kuo-Hsinag Hsu, Xueqing Li, Meng-Fan Chang, Sumeet Kumar Gupta, John Sampson, Vijaykrishnan Narayanan Improving FPGA Design with Monolithic 3D Integration Using High Dense Inter-Stack Via. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rengarajan Ragavan, Cédric Killian, Olivier Sentieys Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1B. G. Sileshi, Joan Oliver, Carles Ferrer 0001 Accelerating Particle Filter on FPGA. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1930 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license