The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Trio Adiono, Khilda Afifah, Suksmandhira Harimurti, Prasetiyo, Amy Hamidah Salman Fully integrated transceiver module with a temperature compensation for high bit rate contactless smart card. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Kuan-Ting Yeh, Katherine Shu-Min Li Exploiting distribution of unknown values in test responses to optimize test output compactors. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stavroula Kapoulea, Costas Psychalinos, Ahmed S. Elwakil Realizations of simple fractional-order capacitor emulators with electronically-tunable capacitance. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1R. S. S. M. R. Krishna, Ashis Kumar Mal, Rajat Mahapatra All MOS noise-shaped time-mode temperature sensor. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shady M. Soliman, Mohammed A. Jaela, Abdelrhman M. Abotaleb, Youssef Hassan, Mohamed Abdelghany, Amr T. Abdel-Hamid, Khaled N. Salama, Hassan Mostafa FPGA implementation of dynamically reconfigurable IoT security module using algorithm hopping. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1George Floros 0002, Nestoras E. Evmorfopoulos, Georgios I. Stamoulis Efficient IC hotspot thermal analysis via low-rank Model Order Reduction. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiming Xu, Howard M. Heys Kernel-based template attacks of cryptographic circuits using static power. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuan Cheng, Chao Wang, Hai-Bao Chen, Hao Yu 0001 A large-scale in-memory computing for deep neural network with trained quantization. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amitkumar S. Khade, Vibha S. Vyas, Mukul S. Sutaone Performance enhancement of advanced recycling folded cascode operational transconductance amplifier using an unbalanced biased input stage. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Engin Afacan, Günhan Dündar A comprehensive analysis on differential cross-coupled CMOS LC oscillators via multi-objective optimization. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Adrian Wheeldon, Jordan Morris, Danil Sokolov, Alex Yakovlev Self-timed, minimum latency circuits for the internet of things. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sri Harsha Gade, Shobha Sundar Ram, Sujay Deb Millimeter wave wireless interconnects in deep submicron chips: Challenges and opportunities. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rasoul Moradi, Ebrahim Farshidi, Mohammad Soroosh A low power passive-active ΔΣ modulator with high-resolution employing an integrator with open-loop unity-gain buffer. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Heechun Park, Taewhan Kim Hybrid asynchronous circuit generation amenable to conventional EDA flow. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Subhabrata Roy, Abhijit Chandra Design of Narrow Transition Band Digital Filter: An Analytical Approach. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xin-Yu Shih, Hong-Ru Chou Flexible design and implementation of QC-Based LDPC decoder architecture for on-line user-defined matrix downloading and efficient decoding. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiongfei Qu, Ruifeng Liu, Lingling Cao, Yuanzhi Zhang, Wenshen Wang, Huimin Liu, Chao Lu 0005 A 5.8 GHz digitally configurable DSRC RF-SoC transmitter for China ETC systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Enahoro Oriero, Syed Rafay Hasan Survey on recent counterfeit IC detection techniques and future research directions. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hongyu An, M. Amimul Ehsan, Zhen Zhou, Fangyang Shen, Yang Yi 0002 Monolithic 3D neuromorphic computing system with hybrid CMOS and memristor-based synapses and neurons. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zechariah Balan, Harikrishnan Ramiah, Jagadheswaran Rajendran, Nandini Vitee, Pravinah Nair Shasidharan, Jun Yin 0001, Pui-In Mak, Rui Paulo Martins A coin-battery-powered LDO-Free 2.4-GHz Bluetooth Low Energy/ZigBee receiver consuming 2 mA. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michail Noltsis, Eleni Maragkoudaki, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masoud Shiroei, Bijan Alizadeh, Masahiro Fujita Data-path aware high-level ECO synthesis. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Asieh Parhizkar Tarighat, Mostafa Yargholi Low power active shunt feedback CMOS low noise amplifier for wideband wireless systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Remigiusz Wisniewski, Grzegorz Bazydlo, Pawel Szczesniak SVM algorithm oriented for implementation in a low-cost Xilinx FPGA. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yaoyao Ye, Zhe Zhang A thermal-sensitive design of a 3D torus-based optical NoC architecture. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chaudhry Indra Kumar, Anand Bulusu High performance energy efficient radiation hardened latch for low voltage applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ismael Lomeli-Illescas, Sergio A. Solis-Bustos, José Ernesto Rayas-Sánchez A tool for the automatic generation and analysis of regular analog layout modules. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sabir Ali Mondal, Pradip Mandal, Hafizur Rahaman 0001 Fast locking, startup-circuit free, low area, 32-phase analog DLL. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hesong Xu, Nicola Massari, Leonardo Gasparini, Alessio Meneghetti, Alessandro Tomasi 0001 A SPAD-based random number generator pixel based on the arrival time of photons. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sebastian Tobuschat, Adam Kostrzewa, Rolf Ernst Selective congestion control for mixed-critical networks-on-chip. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano Workload- and process-variation aware voltage/frequency tuning for energy efficient performance sustainability of NTC manycores. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dev Narayan Yadav, Phrangboklang Lyngton Thangkhiew, Kamalika Datta Look-ahead mapping of Boolean functions in memristive crossbar array. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Saeideh Kabirpour, Mohsen Jalali A highly linear current-starved VCO based on a linearized current control mechanism. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiaji He, Xiaolong Guo, Travis Meade, Raj Gautam Dutta, Yiqiang Zhao, Yier Jin SoC interconnection protection through formal verification. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaohan Yang, Adedotun Adeyemo, Anu Bala, Abusaleh M. Jabir Novel techniques for memristive multifunction logic design. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Moritz Weißbrich, Lukas Gerlach 0001, Holger Blume, Ardalan Najafi, Alberto García Ortiz, Guillermo Payá Vayá FLINT+: A runtime-configurable emulation-based stochastic timing analysis framework. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sana Arshad, Rashad Ramzan, Qamar-ul-Wahab 50-830 MHz noise and distortion canceling CMOS low noise amplifier. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nadia Nedjah, Heloisa Dina Bezerra, Luiza de Macedo Mourelle Automatic generation of harmonious music using cellular automata based hardware design. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Saiyd Ahyoune, Javier J. Sieiro, Tomás Carrasco Carrillo, Neus Vidal, José María López-Villegas, Elisenda Roca, Francisco V. Fernández 0001 Quasi-static PEEC planar solver using a weighted combination of 2D and 3D analytical Green's functions and a predictive meshing generator. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Amir Ardakani, Shahriar B. Shokouhi, Arash Reyhani-Masoleh Improving performance of FPGA-based SR-latch PUF using Transient Effect Ring Oscillator and programmable delay lines. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rupam Bhattacharya, Pranab Roy, Hafizur Rahaman 0001 Homogeneous droplet routing in DMFB: An enhanced technique for high performance bioassay implementation. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Giulia Di Capua, Nuno Horta, Francisco V. Fernández 0001, Günhan Dündar, Salvatore Pennisi, Gaetano Palumbo, Massimo Alioto, Gianluca Giustolisi Guest Editorial Special Issue on Selected Papers from PRIME 2017 and SMACD 2017. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Muharrem Orkun Saglamdemir, Günhan Dündar, Alper Sen 0001 Analog behavioral equivalence boundary computation under the effect of process variations. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sefa Özbek, Golzar Alavi, Johannes Digel, Markus Grözing, Joachim N. Burghartz, Manfred Berroth 3-Path SiGe BiCMOS power amplifier on thinned substrate for IoT applications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kan Xu, Ravi Patel 0001, Praveen Raghavan, Eby G. Friedman Exploratory design of on-chip power delivery for 14, 10, and 7 nm and beyond FinFET ICs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arianna Coccia, Saheed Tijani, Danilo Manstretta, Rinaldo Castello A TVWS receiver with balanced output self-calibrated IIP2 LNTA employing a low-noise current multiplier. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Saverio Panarello, Claudia Triolo, F. Garesci, Salvatore Patanè, R. Denaro Improving ICs reliability with high speed thermal mapping. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anushree Mahapatra, Yidi Liu, Benjamin Carrión Schäfer Accelerating cycle-accurate system-level simulations through behavioral templates. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xin-Yu Shih, Po-Chun Huang, Hong-Ru Chou VLSI design and implementation of a reconfigurable hardware-friendly Polar encoder architecture for emerging high-speed 5G system. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Adam Opara, Marcin Kubica, Dariusz Kania Strategy of logic synthesis using MTBDD dedicated to FPGA. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dominik Macko, Katarína Jelemenská, Pavel Cicák Simplifying low-power SoC top-down design using the system-level abstraction and the increased automation. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ioannis A. Papistas, Vasilis F. Pavlidis Contactless Heterogeneous 3-D ICs for Smart Sensing Systems. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Asyaei A new low-power dynamic circuit for wide fan-in gates. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Habib Rastegar, Saeid Zare, Jee-Youl Ryu A low-voltage low-power capacitive-feedback voltage controlled oscillator. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jun-Da Chen, Wen-Jun Wang A 1.5 ∼ 5 GHz CMOS broadband low-power high-efficiency power amplifier for wireless communications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ali Ibrahim, Luigi Pinna, Maurizio Valle Experimental characterization of dedicated front-end electronics for piezoelectric tactile sensing arrays. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Stefan Kristofík, Peter Malík Enhancement of fault collection for embedded RAM redundancy analysis considering intersection and orphan faults. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Niccolo Lacaita, Matteo Bassi, Andrea Mazzanti, Francesco Svelto A K-band low-noise bipolar class-C VCO for 5G backhaul systems in 55 nm BiCMOS technology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zeinab Hijazi, Marco Grassi, Daniele D. Caviglia, Maurizio Valle Time-based calibration-less read-out circuit for interfacing wide range MOX gas sensors. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shahzad Asif, Md. Selim Hossain, Yinan Kong, Wadood Abdul A Fully RNS based ECC Processor. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohsen Hayati, Sajad Cheraghaliei, Sepehr Zarghami Design of UWB low noise amplifier using noise-canceling and current-reused techniques. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yufei Ma 0002, Naveen Suda, Yu Cao 0001, Sarma B. K. Vrudhula, Jae-sun Seo ALAMO: FPGA acceleration of deep learning algorithms with a modularized RTL compiler. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nunzio Greco, Alessandro Parisi, Nunzio Spina, Egidio Ragonese, Giuseppe Palmisano Scalable lumped models of integrated transformers for galvanically isolated power transfer systems. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lennart Bamberg, Amir Najafi 0001, Alberto García Ortiz Edge effects on the TSV array capacitances and their performance influence. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tze Sin Tan, Bakhtiar Affendi Rosdi Hardware-assisted Verilog simulation system using an application specific microprocessor. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qiang Han, Qiang Xu 0001, Wen-Ben Jone SERA: statistical error rate analysis for profit-oriented performance binning of resilient circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1J. Ahmadi-Farsani, Hamed Sadjedi, M. B. Ghaznavi-Ghoushchi An ultra low-power current-mode clock and data recovery design with input bit-rate adaptability for biomedical applications in CMOS 90 nm. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Armin Mohammadjany, Ali Reza Hazeri, Hossein Miar Naimi Exact analyses for locking range in injection-locked frequency dividers. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yun Fang, Xiaopeng Yu, Zheng Shi 0002, Kiat Seng Yeo A 2.4 mW 2.5 GHz multi-phase clock generator with duty cycle imbalance correction in 0.13 µm CMOS. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaolong Lv, Xiao Zhao, Yongqing Wang, Dawei Jia Super class AB-AB bulk-driven folded cascode OTA. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Manas Kumar Hati, Tarun Kanti Bhattacharyya Phase noise analysis of proposed PFD and CP switching circuit and its advantages over various PFD/CP switching circuits in phase-locked loops. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mahdi Shabany, Dimpesh Patel, Mario Milicevic, Mojtaba Mahdavi 0001, P. Glenn Gulak A 70 pJ/b configurable 64-QAM soft MIMO detector. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jen-Cheng Ying, Wang-Dauh Tseng, Wen-Jiin Tsai Asymmetry dual-LFSR reseeding for low power BIST. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Georg Gläser, Martin Grabmann, Gerrit Kropp, Andreas Furtig There is a limit to everything: Automating AMS operating condition check generation on system-level. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nagapuri Srinivas, Gayadhar Pradhan, Puli Kishore Kumar An efficient hardware architecture for detection of vowel-like regions in speech signal. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Guoyong Shi Toward automated reasoning for analog IC design by symbolic computation - A survey. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Siavash Mowlavi, Aram Baharmast, Jafar Sobhi, Ziaddin Daei Koozehkanani A novel current-mode low-power adjustable wide input range four-quadrant analog multiplier. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Faiq Khalid, Syed Rafay Hasan, Osman Hasan, Falah R. Awwad Runtime hardware Trojan monitors through modeling burst mode communication using formal verification. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Desheng Zheng, Xiaoyu Li, Guowu Yang, Hai Wang, Lulu Tian An assertion graph based abstraction algorithm in GSTE and Its application. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Murat Pak, Francisco V. Fernández 0001, Günhan Dündar A novel design methodology for the mixed-domain optimization of a MEMS accelerometer. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fabrizio Riente, Andrea Giordano, Marco Vacca, Mariagrazia Graziano Exploring N3ASIC technology for microwave imaging architectures. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yiming Ouyang, Jianfeng Yang, Kun Xing, Zhengfeng Huang, Huaguo Liang An improved communication scheme for non-HOL-blocking wireless NoC. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Minho Nam, Kyoung-Rok Cho Implementation of real-time image edge detector based on a bump circuit and active pixels in a CMOS image sensor. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sachin Maheshwari, Vivian A. Bartlett, Izzet Kale Energy efficient implementation of multi-phase quasi-adiabatic Cyclic Redundancy Check in near field communication. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Urvashi Bansal, Maneesha Gupta High bandwidth transimpedance amplifier using FGMOS for low voltage operation. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nan Wang 0003, Wei Zhong, Song Chen 0001, Zhiyuan Ma, Xiaofeng Ling, Yu Zhu Power-gating-aware scheduling with effective hardware resources optimization. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sangmin Kim, Youngsoo Shin Module grouping to reduce the area of test wrappers in SoCs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jonathan Calvillo, Ricardo Póvoa, Jorge Guilherme, Nuno Horta Second-order compensation BGR with low TC and high performance for space applications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohamed Abbas, Ashraf Ramadan Low-cost methodology for fault diagnosis and localization in pipelined ADCs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ali Abou Khalil, Maurizio Valle, Hussein Chible, Chiara Bartolozzi CMOS event-driven tactile sensor circuit. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Taeyoung Kim 0001, Sheldon X.-D. Tan, Chase Cook, Zeyu Sun 0001 Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mehmet Tükel, Arda Yurdakul, Berna Örs Customizable embedded processor array for multimedia applications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pooran Singh, Bhupendra Singh Reniwal, Vikas Vijayvargiya, V. Sharma, Santosh Kumar Vishvakarma Ultra low power-high stability, positive feedback controlled (PFC) 10T SRAM cell for look up table (LUT) design. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Radpour, Sayed Masoud Sayedi SystemC-AMS modeling of photodiode based on PWL technique to be used in energy harvesting CMOS image sensor. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ankur Kumar, Rajendra Kumar Nagaria A new leakage-tolerant high speed comparator based domino gate for wide fan-in OR logic for low power VLSI circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vlastimil Kote, Adam Kubacak, Patrik Vacula, Jiri Jakovenko, Miroslav Husák Automated pre-placement phase as a part of robust analog-mixed signal physical design flow. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yanbin Li, Ming Tang 0002, Yuguang Li, Huanguo Zhang Several weaknesses of the implementation for the theoretically secure masking schemes under ISW framework. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xingquan Li, Jianli Chen, Wenxing Zhu Discrete relaxation method for contact layer decomposition of DSA with triple patterning. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yiran Chen 0001, Hai (Helen) Li, Chunpeng Wu, Chang Song 0001, Sicheng Li, Chuhan Min, Hsin-Pai Cheng, Wei Wen, Xiaoxiao Liu 0001 Neuromorphic computing's yesterday, today, and tomorrow - an evolutional view. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Axel Hald, Pekka Herzogenrath, Jürgen Scheible, Jens Lienig, Johannes Seelhorst, Peter Brandl Full custom MEMS design: A new method for the analysis of motion-dependent parasitics. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license