The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Milan M. Jovanovic, Milo Tomasevic, Veljko M. Milutinovic A simulation-based comparison of two reflective memory approaches. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation-based comparison, reflective memory approaches, Reflective Memory/Memory Channel, RM/MC system, bus-based system architecture, update consistency mechanism, block transfers, simulation analysis, synthetic workload model, real-time response, run-time actions, compile-time actions, performance evaluation, real-time systems, virtual machines, shared memory systems, distributed memory systems, system buses, message latency, data handling, distributed shared memory systems, shared data
22Hee Yong Youn, Calvin Ching-Yuen Chen A Comprehensive Performance Evaluation of Crossbar Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor acceptanceprobability, rejected request handling, home memory concept, performance evaluation, performance evaluation, parallel architectures, multiprocessor interconnection networks, multiprocessing systems, memory bandwidth, crossbar networks, bus arbitration
22Wei-lun Kao, Ravishankar K. Iyer, Dong Tang FINE: A Fault Injection and Monitoring Environment for Tracing the UNIX System Behavior under Faults. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF FINE, fault injection and monitoring environment, UNIX system behavior, hardware-induced software errors, fault injector, analysis utilities, SunOS 4.1.2, transient Markov reward analysis, bus faults, CPU faults, pointer faults, software tools, Unix, program testing, system monitoring, software faults, software monitor, workload generator
22Stephan Olariu, James L. Schwing, Jingyuan Zhang Efficient Image Computations on Reconfigurable Meshes. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bus systems, computer vision, image processing, segmentation, robotics, convex hull, reconfigurable meshes, area, perimeter, component labeling
22János Sztrik, Demetres D. Kouvatsos Asymptotic Analysis of a Heterogeneous Multiprocessor System in a Randomly Changing Environment. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF asymptotic queuing theoretic approach, heterogeneous multiprocessor computer system, randomly changing environment, stochastic times, random environment, service rates, busy period length, exponentially distributed random variable, steady-state performance measures, mean delay time, expected waiting time, performance evaluation, reliability, queueing theory, multiprocessing systems, stochastic processes, bus, system throughput, FCFS
22Norihisa Suzuki TOP-1 Multiprocessor Workstation. Search on Bibsonomy Workshop on Parallel Lisp The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Snoop cache, Queuing model simulation, Cache coherency, Interprocessor communication, Shared-bus multiprocessor
21Martin Mitzlaff, Rüdiger Kapitza, Wolfgang Schröder-Preikschat Enabling mode changes in a distributed automotive system. Search on Bibsonomy EDCC-CARS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Bin Yu, Zhongzhen Yang A dynamic holding strategy in public transit systems with real-time information. Search on Bibsonomy Appl. Intell. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dynamic holding strategy, Genetic algorithm, SVM, Forecasting
21Xu Guo 0001, Patrick Schaumont Optimizing the Control Hierarchy of an ECC Coprocessor Design on an FPGA Based SoC Platform. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Christian S. Jensen, Dalia Tiesyte TransDB: GPS data management with applications in collective transport. Search on Bibsonomy MobiQuitous The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Chenjie Yu, Peter Petrov Latency and bandwidth efficient communication through system customization for embedded multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF snoop protocol, embedded multiprocessor
21Sankalp S. Kallakuri, Alex Doboli Customization of Arbitration Policies and Buffer Space Distribution Using Continuous-Time Markov Decision Processes. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Srinivasa R. Sridhara, Naresh R. Shanbhag Coding for Reliable On-Chip Buses: A Class of Fundamental Bounds and Practical Codes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Brinda Ganesh, Aamer Jaleel, David Wang 0003, Bruce L. Jacob Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jin Guo 0001, Antonis Papanikolaou, Francky Catthoor Topology exploration for energy efficient intra-tile communication. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Andrew G. Schmidt, Ron Sass Characterizing Effective Memory Bandwidth of Designs with Concurrent High-Performance Computing Cores. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Nallamothu Satyanarayana, Madhu Mutyam, A. Vinaya Babu Exploiting on-chip data behavior for delay minimization. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coding, crosstalk, deep-submicron
21Seunghyun Oh The Vehicle Location Tracking System Using Wireless Network. Search on Bibsonomy MMM (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF APTS, ad-hoc network, ITS, sensor node, vehicle tracking
21Nikil D. Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Andrei Hagiescu, Unmesh D. Bordoloi, Samarjit Chakraborty, Prahladavaradan Sampath, P. Vignesh V. Ganesan, S. Ramesh 0002 Performance Analysis of FlexRay-based ECU Networks. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Manuel Barranco, Julián Proenza, Guillermo Rodríguez-Navas, Luís Almeida 0001 An active star topology for improving fault confinement in CAN networks. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James W. Tschanz, Vivek De Formal derivation of optimal active shielding for low-power on-chip buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Alokika Dash, Peter Petrov Energy-Efficient Cache Coherence for Embedded Multi-Processor Systems through Application-Driven Snoop Filtering. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Chunjie Duan, Kanupriya Gulati, Sunil P. Khatri Memory-based crosstalk canceling CODECs for on-chip buses. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Valter Filipe Silva, Joaquim Castro Ferreira, José Alberto Fonseca Dynamic Topology Management in CAN. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Suvodeep Gupta, Srinivas Katkoori Intrabus crosstalk estimation using word-level statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Krishnan Sundaresan, Nihar R. Mahapatra Accurate Energy Dissipation and Thermal Modeling for Nanometer-Scale Buses. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yehea I. Ismail, Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Vivek De Skewing adjacent line repeaters to reduce the delay and energy dissipation of on-chip buses. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar, Tiehan Lv A Case Study in Networks-on-Chip Design for Embedded Video. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jiangjiang Liu 0002, Krishnan Sundaresan, Nihar R. Mahapatra Dynamic Address Compression Schemes: A Performance, Energy, and Cost Study. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Tiehan Lv, Jörg Henkel, Haris Lekatsas, Wayne H. Wolf A dictionary-based en/decoding scheme for low-power data buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Daniele Rossi 0001, Steven V. E. S. van Dijk, Richard P. Kleihorst, André K. Nieuwland, Cecilia Metra Power Consumption of Fault Tolerant Codes: the Active Elements. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Byoung-Woon Kim, Chong-Min Kyung Exploiting intellectual properties with imprecise design costs for system-on-chip synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Taro Miura, Yoshikazu Fujishiro Spectrum management of pulse transmission by high-cut filter line. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Justin A. Boyan, Michael Mitzenmacher IMproved results for route planning in stochastic transportation. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
21Kenneth E. Hoganson Mapping Parallel Application Communication Topology to Rhombic Overlapping-Cluster Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster, parallel programming, interconnection network, shared-memory multiprocessor, overlapped cluster
21Jeffrey B. Rothman, Alan Jay Smith Analysis of Shared Memory Misses and Reference Patterns. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Markus Rudack, Michael Redeker, Dieter Treytnar, Ole Mende, Klaus Herrmann 0002 Self-Configuration of a Large Area Integrated Multiprocessor System for Video Applications. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Krishna Kant 0001, Youjip Won Server Capacity Planning for Web Traffic Workload. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF caching/proxy server, band-width requirements, Web server, self-similarity, symmetric multiprocessors, traffic characterization
21Rajeev Murgai, Masahiro Fujita, Arlindo L. Oliveira Using Complementation and Resequencing to Minimize Transitions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconfigurable computing, event-driven simulation
21Xiaohan Qin, Jean-Loup Baer A Performance Evaluation of Cluster-Based Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Luca Benini, Giovanni De Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Shubhendu S. Mukherjee, Babak Falsafi, Mark D. Hill, David A. Wood 0001 Coherent Network Interfaces for Fine-Grain Communication. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Baher Haroun, Behzad Sajjadi Synthesis of Signal Processing Structured Datapaths for FPGAs Supporting RAMs and Busses. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Scott T. Leutenegger, Mary K. Vernon A Mean-Value Performance Analysis of a New Multiprocessor Architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Thomas Philip Using animated color graphics to illustrate software and hardware organizations (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Jack W. Davidson, Richard A. Vaughan The Effect of Instruction Set Complexity on Program Size and Memory Performance. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Cauligi S. Raghavendra HMESH: A VLSI Architecture for Parallel Processing. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Richard Mateosian System considerations in the NS32032 design. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
21Stephen K. Sunter, Aubin Roy A Mixed-Signal Test Bus and Analog BIST with 'Unlimited' Time and Voltage Resolution. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF mixed-signal DFT, analog bus, mixed-signal BIST
21Waseem Roshen Enterprise Service Bus with USB-like Universal Ports. Search on Bibsonomy ECOWS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Universal Ports, Web Services, SOA, Service Oriented Architecture, Protocol, Services, Enterprise Service Bus, ESB
21Fangyong Hou, Hongjun He, Nong Xiao, Fang Liu 0002, Guangjun Zhong Efficient Encryption-Authentication of Shared Bus-Memory in SMP System. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Authentication, Encryption, Shared Memory, Bus
21Lu Ye A Bus Park Information Integration System Based on J2EE and RFID. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Bus Park, Integratio, RFID, J2EE
21Guangfeng Chen, Weibin Wang, Yang Xu, Qingqing Li, Zhuo Meng CAN Bus Based Jacquard Control System for Carpet Tufting Machine. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF carpet tufting machine, jacquard control system, step motor, CAN Bus
21Luobei Kuang, Ming Xu 0002, Zhijun Wang An Adaptive Routing Protocol for Bus Networks. Search on Bibsonomy AINA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bus network, routing protocol, Internet access
21Kota Tsubouchi, Kazuo Hiekata, Hiroyuki Yamato Scheduling Algorithm for On-Demand Bus System. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF On-line Dial-A-Ride Problem with Time Window, On-demand Bus
21Hongkyun Jung, Xianzhe Jin, Younjin Jung, Ok Kim, Byoungyup Lee, Jungbum Heo, Kwangki Ryoo Design of Multimedia SoC Platform with a Crossbar On-Chip Bus for Embedded Systems. Search on Bibsonomy NCM (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC platform, crossbar, on-chip bus
21Maurizio Skerlj, Paolo Ienne Error Protected Data Bus Inversion Using Standard DRAM Components. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus inversion, reliability, low power, memory, ECC, DRAM, error protection
21Marcin Szpyrka Analysis of VME-Bus communication protocol - RTCP-net approach. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTCP-nets, VME-Bus
21K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Bus encoding schemes for minimizing delay in VLSI interconnects. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bus encoding technique, crosstalk class, delay, encoder, decoder, VLSI interconnects
21Reouven Elbaz, Lionel Torres, Gilles Sassatelli, Pierre Guillemin, Michel Bardouillet, Albert Martinez A parallelized way to provide data encryption and integrity checking on a processor-memory bus. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bus encryption, data confidentiality and integrity, architectures
21Robert C. Martin The Test Bus Imperative: Architectures That Support Automated Acceptance Testing. Search on Bibsonomy IEEE Softw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automated acceptance testing, test bus
21Sabino Salerno, Alberto Bocca, Enrico Macii, Massimo Poncino Limited intra-word transition codes: an energy-efficient bus encoding for LCD display interfaces. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LCD displays, digital display interfaces, low-power bus encoding
21Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III Low-power design methodology for an on-chip bus with adaptive bandwidth capability. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, delay, on-chip interconnect, bus, current-mode, point-to-point
21Jörg Henkel, Tony Givargis, Frank Vahid Fast Cache and Bus Power Estimation for Parameterized System-on-a-Chip Design. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power, cache, estimation, System-on-a-chip, intellectual property, on-chip bus
21Chauchin Su, Yue-Tsang Chen Crosstalk Effect Removal for Analog Measurement in Analog Test Bus. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Analog Test Bus, Design for Testability, Analog Test, Mixed Signal Test
21Chung-Ho Chen, Feng-Fu Lin An Easy-to-Use Approach for Practical Bus-Based System Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Bus-based shared-memory multiprocessor, queuing delay model, system design, memory system design
21Tommy Klevin, Lennart Lindh Scalable Architecture for Real-Time Applications and Use of Bus-Monitoring. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus monitor and multiprocessor systems, real-time system, architecture, real-time kernel
21Haklin Kimm Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system. Search on Bibsonomy SAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF maximal dominance, reconfigurable pipelined optical bus system, parallel algorithm, computational geometry
21Keqin Li Constant Time Boolean Matrix Multiplication on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy J. Supercomput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Boolean matrix closure, reconfigurability, time complexity, cost, transitive closure, processor array, optical bus, boolean matrix multiplication
21Pedro A. Molina, Peter Y. K. Cheung A Quasi Delay-Insensitive Bus Proposal for Asynchronous Systems. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Tri-state Buffers, Asynchronous, Composability, Bus, Data Path, Delay-Insensitive, Handshake Circuits
21Horng-Ren Tsai, Shi-Jinn Horng, Shun-Shan Tsai, Shung-Shing Lee, Tzong-Wann Kao, Chia-Ho Chen Parallel Clustering Algorithms on a Reconfigurable Array of Processors with Wider Bus Networks. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF k-means method, array of processors with a wider bus network (RAPWBN), pattern recognition, parallel algorithm, image processing, cluster analysis, pattern cluster
21P. Bosch, A. Carloganu, Daniel Etiemble Complete x86 instruction trace generation from hardware bus collect. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data
21Kanad Chakraborty, Pinaki Mazumder An efficient, bus-layout based method for early diagnosis of bussed driver shorts in printed circuit boards. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bus-layout, bussed driver shorts, early diagnosis, field survivability, interconnect shorts, production yield, printed circuit boards, printed circuit testing
21Tsutomu Ishikawa Hypercube Multiprocessors with Bus Connections for Improving Communication Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus connection, Hypercubes, routing algorithms, Hamming code, communication performance, network diameter, multiprocessor network, perfect code
21Michael Sheliga, Edwin Hsing-Mean Sha Bus minimization and scheduling of multi-chip systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus minimization, multi-chip module design, scheduling, scheduling, logic CAD, polynomial time algorithm, circuit layout CAD, multichip modules, signal flow graphs, signal flow graphs, algorithm efficiency
21Oran Sharon, Adrian Segall On the efficiency of slot reuse in the Dual Bus configuration. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF destination release, slotted dual-bus, medium access control, slot reuse
21Appie van de Liefvoort, Narayan Subramanian A New Approach for the Performance Analysis of a Single-Bus Multiprocessor System with General Service Times. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF single-bus multiprocessor system, general service times, linear algebraic approach, M/G/1/N queuing system, load-dependent arrivals, rational Laplace transform, symbolic programming techniques, explicit closed-form expression, performance evaluation, performance analysis, queueing theory, multiprocessing systems, queuing theory
21Barry Wilkinson On Crossbar Switch and Multiple Bus Interconnection Networks with Overlapping Connectivity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiple bus interconnection networks, overlapping connectivity, overlapping connectivity networks, multiprocessor interconnection networks, neural computers, dataflow computers
21MenChow Chiang, Gurindar S. Sohi Evaluating Design Choices for Shared Bus Multiprocessors in a Throughput-Oriented Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF throughput-oriented environment, overall throughput, design choices, mean value analysis analytical models, trace-driven simulation analysis, cache block sizes, cache set associativity, multiprocessor throughput, performance evaluation, performance, multiprocessing systems, digital simulation, shared bus multiprocessors
21Qing Yang 0001, Laxmi N. Bhuyan Analysis of Packet-Switched Multiple-Bus Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF approximate queueing network models, packet-switched multiple-bus multiprocessor systems, flow equivalence, crossbar-based multiprocessors, performance evaluation, performance analysis, queueing theory, packet switching, multiprocessing systems, decomposition, single-server queue, synchronous control, asynchronous control
21Ibrahim H. Önyüksel, Keki B. Irani Markovian Queueing Network Models for Performance Analysis of a Single-Bus Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Markovian queueing network models, single-bus multiprocessor system, r-stage hypoexponential distribution, hyperexponential distribution, equilibrium probabilities, service time distributions, performance evaluation, performance analysis, Markov processes, queueing theory, multiprocessing systems, recurrence relations
21S. S. S. P. Rao, J. R. Isaac Interface Optimization: An Algorithm for the Detection of Data Path Redundancy and Reconfigurability Towards Obtaining Minimal Bus Interfaces. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF submodules interconnection, data path redundancy, minimal bus interfaces, indirect paths, VLSI, reconfigurability, redundancy, design tool, data transfer, digital systems, computer interfaces, computer interfaces
21Bohdan L. Bodnar, A. C. Liu Modeling and Performance Analysis of Single-Bus Tightly-Coupled Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF global performance metrics, single-bus tightly-coupled multiprocessors, hierarchical stochastic queuing model, single queue/server pair, probabilistic task migration, CPU sensitivity analysis, performance evaluation, modelling, performance analysis, shared memory, multiprocessing systems, processing elements
21Shahid H. Bokhari Finding Maximum on an Array Processor with a Global Bus. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF two-phase algorithm, global bus, maximum, parallel processing, networks, Array processors, interconnection structures
21Pauline Markenscoff A Deterministic Model for Evaluating the Performance of a Multiple Processor with a Shared Bus. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF performance evaluation, real time control, Multiple processor system, shared bus
21Keki B. Irani, Ibrahim H. Önyüksel A Closed-Form Solution for the Performance Analysis of Multiple-Bus Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Markovian queueing networks, performance analysis, Markov chains, multiprocessor systems, memory contention, Bus contention, processing efficiency, multiple buses
21Michael Fine, Fouad A. Tobagi Demand Assignment Multiple Access Schemes in Broadcast Bus Local Area Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Broadcast bus networks, multiaccess protocols, performance, local area networks, packet switching, random access, carrier sensing, token passing
21Marco Ajmone Marsan, Gianfranco Balbo, Gianni Conte, Francesco Gregoretti Modeling Bus Contention and Memory Interference in a Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF performance evaluation, Petri nets, Markov chains, multiprocessors, message passing, queueing networks, memory interference, Bus contention
21P. V. Afshari, Steven C. Bruell, Richard Y. Kain On the Load Balancing Bus Accessing Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF modeling, load balancing, distributed computing, queueing networks, Bus
21Werner Bux Analysis of a Local-Area Bus System with Controlled Access. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF scheduling, performance, protocol, Access method, bus, local network
21Tomás Lang, Mateo Valero, Ignacio Alegre Bandwidth of Crossbar and Multiple-Bus Connections for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF multiprocessors, shared memory, memory bandwidth, Bus arbitration, multiple buses
21Kwok-Tung Fung, Hwa C. Torng On the Analysis of Memory Conflicts and Bus Contentions in a Multiple-Microprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF multiple-microprocessor system, interference, memory mapping, memory conflict, Bus contention
20Chen Kang Lo, Ren-Song Tsay Automatic generation of Cycle Accurate and Cycle Count Accurate transaction level bus models from a formal model. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Ralph Mietzner, Tammo van Lessen, Alexander Wiese, Matthias Wieland 0001, Dimka Karastoyanova, Frank Leymann Virtualizing Services and Resources with ProBus: The WS-Policy-Aware Service and Resource Bus. Search on Bibsonomy ICWS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Min-Young Nam, Rodolfo Pellizzoni, Lui Sha, Richard M. Bradford ASIIST: Application Specific I/O Integration Support Tool for Real-Time Bus Architecture Designs. Search on Bibsonomy ICECCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Srinivasa R. Sridhara, Ganesh Balamurugan, Naresh R. Shanbhag Joint Equalization and Coding for On-Chip Bus Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Sampo Tuuna, Li-Rong Zheng 0001, Jouni Isoaho, Hannu Tenhunen Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Zonghai Chen, Haibo Wang Open Intelligent Robot Controller Based on Field-Bus and RTOS. Search on Bibsonomy Innovations in Hybrid Intelligent Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license