The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Praveen Bhojwani, Rabi N. Mahapatra Robust Concurrent Online Testing of Network-on-Chip-Based SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23N. Alaraje, Guy Hembroff Impact of NoFPGA IP router architecture on link bandwidth. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Bjørn Olav Hogstad, Matthias Pätzold 0001 On the Stationarity of Sum-of-Cisoids-Based Mobile Fading Channel Simulators. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Matthias Pätzold 0001, Carlos A. Gutiérrez-Díaz-de-León Level-Crossing Rate and Average Duration of Fades of the Envelope of a Sum-of-Cisoids. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sukumar Jairam, S. M. Stalin, Jean-Yves Oberle, H. Udayakumar An SSO Based Methodology for EM Emission Estimation from SoCs. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Yinong Chen, Xiaoying Bai On Robotics Applications in Service-Oriented Architecture. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sudarshan Bahukudumbi, Krishnendu Chakrabarty Wafer-Level Modular Testing of Core-Based SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kees Goossens, Bart Vermeulen, Remco van Steeden, Martijn T. Bennebroek Transaction-Based Communication-Centric Debug. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Raimon Casanova, Ángel Dieguez, Andreu Sanuy, Anna Arbat, Oscar Alonso, Joan Canals, Manel Puig, Josep Samitier Enabling swarm behavior in mm3-sized robots with specific designed integrated electronics. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ahmed Amine Jerraya HW/SW implementation from abstract architecture models. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Letícia Maria Veiras Bolzani, Ernesto Sánchez 0001, Massimiliano Schillaci, Giovanni Squillero Co-evolution of test programs and stimuli vectors for testing of embedded peripheral cores. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Masaitsu Nakajima, Takao Yamamoto, Masayuki Yamasaki, Tetsu Hosoki, Masaya Sumita Low Power Techniques for Mobile Application SoCs Based on Integrated Platform "UniPhier". Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Soo Ho Chang, Soo Dong Kim A Variability Modeling Method for Adaptable Services in Service-Oriented Computing. Search on Bibsonomy SPLC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kanishka Lahiri, Anand Raghunathan, Ganesh Lakshminarayana The LOTTERYBUS on-chip communication architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Dmitry Akselrod, Asaf Ashkenazi, Yossi Amon Platform independent debug port controller architecture with security protection for multi-processor system-on-chip ICs. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Torsten Kempf, Kingshuk Karuri, Stefan Wallentowitz, Gerd Ascheid, Rainer Leupers, Heinrich Meyr A SW performance estimation framework for early system-level-design using fine-grained instrumentation. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Martijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, Giovanni De Micheli A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF systems-on-chip, networks-on-chip, buffers, area
23Bastien Chopard, Philippe Combes, Julien Zory A Conservative Approach to SystemC Parallelization. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Celene Navarrete, Esperanza Huerta A Bridge Home: The Use of the Internet by Transnational Communities of Immigrants. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Abdelmajid Bouajila, Andreas Bernauer, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann 0001, Walter Stechele Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs. Search on Bibsonomy BICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Amir Nahir, Avi Ziv, Roy Emek, Tal Keidar, Nir Ronen Scheduling-based test-case generation for verification of multimedia SoCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, system on a chip, functional verification
23Francisco Curbera A Programming Model for Service Oriented Applications. Search on Bibsonomy FASE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Ozgur Sinanoglu, Alex Orailoglu Test power reductions through computationally efficient, decoupled scan chain modifications. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Mounir Benabdenbi, Alain Greiner, François Pêcheux, Emmanuel Viaud, Matthieu Tuna STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Krishna Sekar, Kanishka Lahiri, Sujit Dey Configurable Platforms With Dynamic Platform Management: An Efficient Alternative to Application-Specific System-on-Chips. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Rashid Rashidzadeh, Majid Ahmadi, William C. Miller A tester-on-chip implementation in 0.18µ CMOS utilizing a MEMS interface. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Rubin A. Parekhji Testing Embedded Cores and SOCs-DFT, ATPG and BIST Solutions. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Philippe Magarshack, Pierre G. Paulin System-on-chip beyond the nanometer wall. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design automation tools, embedded software technologies, system-on-chip, network-on-chip, reconfigurable systems, multi-processor systems
23Marcello Lajolo, Anand Raghunathan, Sujit Dey, Luciano Lavagno Cosimulation-based power estimation for system-on-chip design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Maurizio Palesi, Tony Givargis Multi-objective design space exploration using genetic algorithms. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pareto-optimal configurations, system-on-a-chip architectures, genetic algorithms, low power design, design space exploration
23H. Bernhard Pogge The next chip challenge: effective methods for viable mixed technology SoCs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SoCs (System on a Chip), chip fabrication methods, chip subsector concepts, chip/packing integration
23Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Core-Based Systems-on-a-Chip Based on Consecutive Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF consecutive transparency, core-based systems-on-a-chip, design for testability, test access mechanism, consecutive testability
23Marcello Lajolo, Anand Raghunathan, Sujit Dey, Luciano Lavagno Efficient Power Co-Estimation Techniques for System-on-Chip Design. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Xiaohong Jiang 0001, Susumu Horiguchi, Yue Hao Predicting the Yield Efficacy of a Defect-Tolerant Embedded Core. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Dirk Desmet, Diederik Verkest, Hugo De Man Operating system based software generation for systems-on-chip. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC test-architecture optimization for the testing of embedded cores and signal-integrity faults on core-external interconnects. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Core-based system-on-chip, test scheduling, test-access mechanism (TAM), interconnect testing
22Dragos Truscan, Torbjörn Lundkvist, Marcus Alanen, Kim Sandström, Ivan Porres, Johan Lilius MDE for SoC design. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF System on chip, Model transformation, Domain-specific language, Metamodel, Model-driven engineering
22Nobuyuki Itoh, Mototsugu Hamada RF-analog circuit design in scaled SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Liang-Bi Chen, Ruei-Ting Gu, Wei-Sheng Huang, Chien-Chou Wang, Wen-Chi Shiue, Tsung-Yu Ho, Yun-Nan Chang, Shen-Fu Hsiao, Chung-Nan Lee, Ing-Jer Huang An 8.69 Mvertices/s 278 Mpixels/s tile-based 3D graphics SoC HW/SW development for consumer electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Rosario Pugliese, Francesco Tiezzi 0001, Nobuko Yoshida On Observing Dynamic Prioritised Actions in SOC. Search on Bibsonomy ICALP (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Xu Guo 0001, Patrick Schaumont Optimizing the Control Hierarchy of an ECC Coprocessor Design on an FPGA Based SoC Platform. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Rodolfo Pellizzoni, Patrick O'Neil Meredith, Min-Young Nam, Mu Sun, Marco Caccamo, Lui Sha Handling mixed-criticality in SoC-based real-time embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mixed-criticality, system-on-chip, runtime monitoring, platform-based design, AADL
22Minsik Cho, David Z. Pan Fast Substrate Noise Aware Floorplanning for Mixed Signal SOC Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Paolo Bernardi SoC Symbolic Simulation: a case study on delay fault testing. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ching-Te Chiu, Tsun-Hsien Wang, Wei-Ming Ke, Chen-Yu Chuang, Jhih-Rong Chen, Rong Yang, Ren-Song Tsay Design optimization of a global/local tone mapping processor on arm SOC platform for real-time high dynamic range video. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Xiao Zheng, Junzhou Luo, Aibo Song A Scalable and Adaptive Distributed Service Discovery Mechanism in SOC Environments. Search on Bibsonomy NPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-agent system, service discovery, P2P network, ant algorithm
22Pieter van der Wolf, Tomas Henriksson Video Processing Requirements on SoC Infrastructures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Andrea Marongiu, Luca Benini, Andrea Acquaviva, Andrea Bartolini Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Pramod Chandraiah, Rainer Dömer Automatic re-coding of reference code into structured and analyzable SoC models. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Fabio Campi, Luca Ciccarelli, Claudio Mucci Sustainable (re-) configurable solutions for the high volume SoC market. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22David Dickin, Lesley Shannon Extending the SIMPPL SoC architectural framework to support application-specific architectures on multi-FPGA platforms. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chih-Chi Cheng, Chia-Hua Lin, Chung-Te Li, Samuel C. Chang, Liang-Gee Chen iVisual: an intelligent visual sensor SoC with 2790fps CMOS image sensor and 205GOPS/W vision processor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF vision processor, VLSI, video analysis, SIMD, intelligent sensor
22Lesley Shannon, Paul Chow SIMPPL: An Adaptable SoC Framework Using a Programmable Controller IP Interface to Facilitate Design Reuse. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Marek Tudruj, Lukasz Masko Dynamic SMP Clusters with Communication on the Fly in SoC Technology Applied for Medium-Grain Parallel Matrix Multiplication. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Riccardo Mariani, Gabriele Boschi, Federico Colucci Using an innovative SoC-level FMEA methodology to design in compliance with IEC61508. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Tohru Furuyama Keynote address: Challenges of digital consumer and mobile SoC's: more Moore possible? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ryo Watanabe, Masaaki Kondo, Masashi Imai, Hiroshi Nakamura, Takashi Nanya Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ying Wang 0032, Weinan Chen, Xiao-Wei Wang, Hong-Jun You, Chenglian Peng Enabling Reconfigurable SoC in Multimedia Processing. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Pramod Chandraiah, Junyu Peng, Rainer Dömer Creating Explicit Communication in SoC Models Using Interactive Re-Coding. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sikun Li, Dawei Wang 0020, Tun Li, Yong Dou Distributed Collaborative Partition Method of Reconfigurable SoC Using Ant Colony Optimization. Search on Bibsonomy CSCWD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Marco D. Santambrogio, Seda Ogrenci Memik, Vincenzo Rana, Umut A. Acar, Donatella Sciuto A novel SoC design methodology combining adaptive software and reconfigurable hardware. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Behnam Amelifard, Massoud Pedram Design of an efficient power delivery network in an soc to enable dynamic power management. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power delivery network, voltage regulator, low-power design, DC-DC converter
22Jer-Min Hsiao, Chun-Jen Tsai Analysis of an SOC Architecture for MPEG Reconfigurable Video Coding Framework. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Prakash Srinivasan, Ali Ahmadinia, Ahmet T. Erdogan, Tughrul Arslan Integrated Heterogenous Modelling for Power Estimation of Single Processor based Reconfigurable SoC Platform. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hirokazu Tohya, Noritaka Toya A Novel Design Methodology of the On-Chip Power Distribution Network Enhancing the Performance and Suppressing EMI of the SoC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xiaotao Chang, Mingming Zhang, Ge Zhang 0007, Zhimin Zhang, Jun Wang Adaptive Clock Gating Technique for Low Power IP Core in SoC Design. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Yung-Chi Chang, Wei-Min Chao, Chih-Wei Hsu, Liang-Gee Chen Platform-Based MPEG-4 SOC Design for Video Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF platform-based architecture, video encoder, MPEG-4 video
22Chantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal Pareto-Based Application Specification for MP-SoC Customized Run-Time Management. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Olivier Benny, Damien Lyonnard, Bruno Lavigueur, David Lo 0002 Distributed object models for multi-processor SoC's, with application to low-power multimedia wireless systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Gang Zeng, Hideo Ito Concurrent core test for SOC using shared test set and scan chain disable. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ying Wang 0032, Xuegong Zhou, Bo Zhou, Liang Liang, Chenglian Peng A MDA based SoC Modeling Approach using UML and SystemC. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Mário P. Véstias, Horácio C. Neto Co-synthesis of a configurable SoC platform based on a network on chip architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ikhwan Lee, Hyunsuk Kim, Peng Yang, Sungjoo Yoo, Eui-Young Chung, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo PowerViP: Soc power estimation framework at transaction level. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Evaluating SoC Network Performance in MPEG-4 Encoder. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Thomas D. Richardson, Chrysostomos Nicopoulos, Dongkook Park, Narayanan Vijaykrishnan, Yuan Xie 0001, Chita R. Das, Vijay Degalahal A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Chua-Chin Wang, Chi-Chun Huang, Tzung-Je Lee, Cheng-Mu Wu, Gang-Neng Sung, Kuan-Wen Fang, Sheng-Lun Tseng, Jia-Jin Chen An Implantable SOC Chip for Micro-stimulating and Neural Signal Recording. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Moonvin Song, Yunmo Chung SoC Design of Speaker Connection System by Efficient Cosimulation. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jyh-Shin Pan, Hao-Cheng Chen, Bing-Yu Hsieh, Hong-Ching Chen, Roger Lee, Ching-Ho Chu, Yuan-Chin Liu, Chuan Liu, Lily Huang, Chang-Long Wu, Meng-Hsueh Lin, Chun-Yiu Lin, Shang-Nien Tsai, Jenn-Ning Yang, Chang-Po Ma, Yung Cheng, Shu-Hung Chou, Hsiu-Chen Peng, Peng-Chuan Huang, Benjamin Chiu, Alex Ho A CMOS SoC for 56/18/16 CD/DVD-dual/RAM applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DVD-RAM, SATA, WSR, CMOS, optical storage
22Kun-Bin Lee, Tzu-Chieh Lin, Chein-Wei Jen An Efficient Quality-Aware Memory Controller for Multimedia Platform SoC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Nicola Bombieri, Andrea Fedeli, Franco Fummi On PSL Properties Re-use in SoC Design Flow Based on Transaction Level Modeling. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Qiang Zhu 0005, Ryosuke Oishi, Takashi Hasegawa, Tsuneo Nakata Integrating UML into SoC Design Process. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yves Vanderperren, Wim Dehaene UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kees Goossens, John Dielissen, Om Prakash Gangwal, Santiago González Pestana, Andrei Radulescu, Edwin Rijpkema A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Tom Waayers, Erik Jan Marinissen, Maurice Lousberg IEEE Std 1500 Compliant Infrastructure forModular SOC Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Luca Benini Advanced power management of SoC platforms. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Gabriel Mihai Lipsa, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann 0001, Walter Stechele Towards a Framework and a Design Methodology for Autonomic SoC. Search on Bibsonomy ICAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Abner Corrêa Barros, Pericles Lima, Juliana Xavier, Manoel Eusébio de Lima Teaching SoC Design in a Project-Oriented Course Based on Robotics. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Donald Hung Teaching SoC-Oriented Computer Design Course. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jari Nurmi, Jan Madsen, Erwin Ofner, Jouni Isoaho, Hannu Tenhunen The SoC-Mobinet Model in System-on-Chip Education. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Andrea Lodi 0002, Luca Ciccarelli, Claudio Mucci, Roberto Giansante, Andrea Cappelli, Mario Toma An Embedded Reconfigurable Datapath for SoC. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chun Luo, Jun Yang 0006, Gugang Gao, Longxing Shi Domain fault model and coverage metric for SoC verification. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Athon Zanikopoulos, Pieter Harpe, Hans Hegt, Arthur H. M. van Roermund A flexible ADC approach for mixed-signal SoC platforms. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kuen-Jong Lee, Chia-Yi Chu, Yu-Ting Hong An embedded processor based SOC test platform. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Angela Hodge-Miller, Robert W. Newcomb System-on-a-chip (SoC) model of a micropump. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kentaroh Katoh, Abderrahim Doumar, Hideo Ito Design of On-Line Testing for SoC with IEEE P1500 Compliant Cores Using Reconfigurable Hardware and Scan Shift. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22R. Castagnetti, R. Venkatraman, Brandon Bartz, Carl Monzel, T. Briscoe, Andres Teene, S. Ramesh 0004 A High-Performance SRAM Technology With Reduced Chip-Level Routing Congestion for SoC. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license