The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Shan Yan, Bill Lin 0001 Stream Execution on Embedded Wide-Issue Clustered VLIW Architectures. Search on Bibsonomy EURASIP J. Embed. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Tsung-Han Tsai 0001, Chun-Nan Liu, Jui Hong Hung VLIW-aware software optimization of AAC decoder on parallel architecture core DSP (PACDSP) processor. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rahul Nagpal, Y. N. Srikant Pragmatic integrated scheduling for clustered VLIW architectures. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Nagm Mohamed, Nazeih Botros, Mohamad Alweh Cache Memory Energy Exploitation in VLIW Architectures. Search on Bibsonomy SCSS (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Michael Vogel, Mario Schölzel Automatic Generation of Cycle Accurate SystemC Models for Application Specific Clustered VLIW Processors. Search on Bibsonomy MBMV The full citation details ... 2008 DBLP  BibTeX  RDF
16Mostafa E. A. Ibrahim, Markus Rupp, Hossam A. H. Fahmy Power estimation methodology for VLIW Digital Signal Processors. Search on Bibsonomy ACSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Dirk Tetzlaff Erweitertes Hyperblock-Scheduling für VLIW-Prozessoren. Search on Bibsonomy Informatiktage The full citation details ... 2008 DBLP  BibTeX  RDF
16Stephan Wong, Thijs van As, Geoffrey Brown p-VEX: A reconfigurable and extensible softcore VLIW processor. Search on Bibsonomy FPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Naser Sedaghati-Mokhtari, Mahdi Nazm Bojnordi, Abbas Hormati, Sied Mehdi Fakhraie An Efficient and Extendable Modeling Approach for VLIW DSP Processors. Search on Bibsonomy CSICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Debyo Saptono, Vincent Brost, Fan Yang 0019, Eri Prasetyo Design Space Exploration for a Custom VLIW Architecture: Direct Photo Printer Hardware Setting Using VEX Compiler. Search on Bibsonomy SITIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Gunter Haug Emulation synthetisierter Verhaltensbeschreibungen mit VLIW-Prozessoren Search on Bibsonomy 2008   RDF
16Chang-Hyo Yu, Kyusik Chung, Donghyun Kim, Lee-Sup Kim An Energy-Efficient Mobile Vertex Processor With Multithread Expanded VLIW Architecture and Vertex Caches. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Christoph W. Kessler, Andrzej Bednarski, Mattias V. Eriksson Classification and generation of schedules for VLIW processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yung-Chia Lin, Yi-Ping You, Jenq Kuen Lee PALF: compiler supports for irregular register files in clustered VLIW DSP processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16 Real-time Face Recognition Using SIMD and VLIW Architecture. Search on Bibsonomy J. Comput. Inf. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16José L. Ayala, Marisa López-Vallejo, David Atienza, Praveen Raghavan, Francky Catthoor, Diederik Verkest Energy-aware compilation and hardware design for VLIW embedded systems. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Vincenzo Catania, Maurizio Palesi, Davide Patti Analysis and Tools for the Design of VLIW Embedded Systems in a Multi-Objective Scenario. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Muhammad Sohail Sadiq, Shoab Ahmed Khan Optimal Mapping of DSP Algorithms on Commercially Available Off-The-Shelf (COTS) VLIW DSPs. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pawel Pawlowski, Adam Dabrowski, Mario Schölzel Proposal of VLIW Architecture for Application Specific Processors with Built-in-Self-Repair Facility via Variable Accuracy Arithmetic. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jos Huisken Integrating VLIW Processors with a Network on Chip. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Peter Zipf, Heiko Hinkelmann, Felix Missel, Manfred Glesner A Customizable LEON2-Based VLIW Processor. Search on Bibsonomy ReCoSoC The full citation details ... 2007 DBLP  BibTeX  RDF
16Min Li 0001, Bruno Bougard, Eduardo Lopez-Estraviz, André Bourdoux, Liesbet Van der Perre, Francky Catthoor The Quality-Energy Scalable OFDMA Modulation for Low Power Transmitter and VLIW Processor Based Implementation. Search on Bibsonomy GLOBECOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Naser Sedaghati-Mokhtari, Mahdi Nazm Bojnordi, Amin Farmahini Farahani, Mahmoud Mousavinezhad, Sied Mehdi Fakhraie Simulation of Voice Processing Applications through VLIW DSP Architectures. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Vincent Brost, Fan Yang 0019, Michel Paindavoine A modular VLIW Processor. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ricardo Ribeiro dos Santos 2D-VLIW: a processor architecture based on the geometry of the computation. Search on Bibsonomy 2007   RDF
16Esther Salamí Optimizing VLIW architectures for multimedia applications. Search on Bibsonomy 2007   RDF
16Montserrat Ros Code compression optimisation for VLIW processors Search on Bibsonomy 2007   RDF
16Christoph W. Keßler, Andrzej Bednarski Optimal integrated code generation for VLIW architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Karine Heydemann, François Bodin, Peter M. W. Knijnenburg, Laurent Morin UFS: a global trade-off strategy for loop unrolling for VLIW architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Instruction scheduling for a clustered VLIW processor with a word-interleaved cache. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Alex K. Jones, Raymond R. Hoare, Dara Kusic, Justin Stander, Gayatri Mehta, Joshua Fazekas A VLIW Processor With Hardware Functions: Increasing Performance While Reducing Power. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Raymond R. Hoare, Alex K. Jones, Dara Kusic, Joshua Fazekas, John Foster 0001, Shen Chih Tung, Michael L. McCloud Rapid VLIW Processor Customization for Signal Processing Applications Using Combinational Hardware Functions. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Mazen A. R. Saghir, Mohamad El-Majzoub, Patrick Akl Datapath and ISA Customization for Soft VLIW Processors. Search on Bibsonomy ReConFig The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti A Multiobjective Genetic Fuzzy Approach for Intelligent System-level Exploration in Parameterized VLIW Processor Design. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Rahul Nagpal, Y. N. Srikant Exploring Energy-Performance Trade-Offs for Heterogeneous Interconnect Clustered VLIW Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Satoshi Imai, Atsuki Inoue, Motoaki Matsumura, Kenichi Kawasaki, Atsuhiro Suga Single-chip multi-processor integrating quadruple 8-way VLIW processors with interface timing analysis considering power supply noise. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Andy Heinig, Mario Schölzel Zeitbeschränkte Clusterung zur Design-Space-Exploration geclusterter VLIW-Prozessoren. Search on Bibsonomy MBMV The full citation details ... 2006 DBLP  BibTeX  RDF
16Chang-Hyo Yu, Kyusik Chung, Donghyun Kim, Lee-Sup Kim A 120Mvertices/s multi-threaded VLIW vertex processor for mobile multimedia applications. Search on Bibsonomy ISSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Sourav Roy, Rajat Bhatia, Ashish Mathur An accurate Energy estimation framework for VLIW Processor Cores. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Zhou Zhixiong, Yang Xu, He Hu 0002, Yihe Sun A Retargetable Compiler of VLIW ASIP for Media Signal Processing. Search on Bibsonomy ESA The full citation details ... 2006 DBLP  BibTeX  RDF
16Reza Iraji, Hamid Sarbazi-Azad A Probability-Based Instruction Combining Method for Scheduling in VLIW Processors. Search on Bibsonomy AICCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Giuseppe Desoli, Thierry Strudel, Jean-Philippe Cousin, Kaushik Saha Current and future trends in embedded VLIW microprocessors applied to multimedia and signal processing. Search on Bibsonomy EUSIPCO The full citation details ... 2006 DBLP  BibTeX  RDF
16Mario Schölzel Automatisierter Entwurf anwendungsspezifischer VLIW-Prozessoren. Search on Bibsonomy 2006   RDF
16Shu Xiao 0001 Power-balanced instruction scheduling for pipelined VLIW architectures Search on Bibsonomy 2006   DOI  RDF
16Alessandro Scotto Architectural exploration technology based on simulation of reconfigurable VLIW processors driven by multimedia applications for HW-SW co-design. Search on Bibsonomy 2006   RDF
16Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Reducing the complexity of instruction-level power models for VLIW processors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Steve Haga, Andrew Webber, Yi Zhang, Nghi Nguyen, Rajeev Barua Reducing code size in VLIW instruction scheduling. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2005 DBLP  BibTeX  RDF
16Jean-Luc Gaudiot, Jung-Yup Kang, Won Woo Ro Techniques to Improve Performance Beyond Pipelining: Superpipelining, Superscalar, and VLIW. Search on Bibsonomy Adv. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Matteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Low-power branch prediction techniques for VLIW architectures: a compiler-hints based approach. Search on Bibsonomy Integr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kazutoshi Kobayashi, Masao Aramoto, Hidetoshi Onodera A Resource-Shared VLIW Processor for Low-Power On-Chip Multiprocessing in the Nanometer Era. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Radu Muresan, Catherine H. Gebotys Instantaneous current modeling in a complex VLIW processor core. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction-level current model, current and power measurement in a processor, instantaneous current model, power and energy model
16Joseph A. Fisher, Paolo Faraboschi, Cliff Young Embedded computing - a VLIW approach to architecture, compilers, and tools. Search on Bibsonomy 2005   RDF
16Stephan Suijkerbuijk, Ben H. H. Juurlink Implementing Hardware Multithreading in a VLIW Architecture. Search on Bibsonomy IASTED PDCS The full citation details ... 2005 DBLP  BibTeX  RDF
16Atsushi Tsukikawa, Fumihito Furukawa, Takayuki Aoki, Daisuke Oka, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba Design and Implementation of a VLIW Processor Simulation Environment with Instruction Scheduling Framework. Search on Bibsonomy IASTED PDCS The full citation details ... 2005 DBLP  BibTeX  RDF
16Shu Xiao 0001, Edmund Ming-Kit Lai A rough programming approach to power-aware VLIW instruction scheduling for digital signal processors. Search on Bibsonomy ICASSP (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kevin D. Rich, Shankar G. Govindaraju, Robert Shaw, David Dobrikin DVGen: a test generator for the transmeta Efficeon VLIW processor. Search on Bibsonomy HLDVT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Arun Vijayaraghavan, M. Kannan, R. Seshasayanan Simulation Analysis of Low Power Synchronous Token Ring Based VLIW processor under GALS Multi-processor technology with improved efficiency. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
16Francesco Menichelli, Mauro Olivieri, Simone Smorfa, Irene Zaccardini Software optimization of the JPEG2000 algorithm on a VLIW CPU core for system-on-chip implementation. Search on Bibsonomy Circuits, Signals, and Systems The full citation details ... 2005 DBLP  BibTeX  RDF
16Minyi Guo Keynote Address: Energy-Aware Compiler Scheduling for VLIW Embedded Software. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Thomas Zeitlhofer, Bernhard Wess Integrated assignment of registers and functional units for heterogeneous vliw-architectures. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hamed Fatemi, Henk Corporaal, Twan Basten, Richard P. Kleihorst, Pieter P. Jonker Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures. Search on Bibsonomy ACIVS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Shu Xiao 0001, Edmund Ming-Kit Lai, A. Prasad Vinod 0001 VLIW instruction scheduling for DSP processors based on rough set theory. Search on Bibsonomy ISSPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Steve Haga VLIW Instruction Scheduling for Reduced Code Size. Search on Bibsonomy 2005   RDF
16Domenico Barretta Joint exploitation of ILP and thread level parallelism on multicluster VLIW processors. Search on Bibsonomy 2005   RDF
16Suhyun Kim, Soo-Mook Moon, Kemal Ebcioglu, Erik R. Altman VLaTTe: A Java Just-in-Time Compiler for VLIW with Fast Scheduling and Register Allocation. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2004 DBLP  BibTeX  RDF
16Wanli Liu, David H. Albonesi, John Gostomski, Lloyd Palum, Dave Hinterberger, Rick Wanzenried, Mark Indovina An Evaluation of a Configurable Vliw Microarchitecture for Embedded Dsp Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Mile K. Stojcev Power estimation and optimization for VLIW-based embedded systems; Vittorio Zaccaria, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano. Hardcover, pp 203, plus XXIV, Kluwer Academic Publishers, Boston, 2003. ISBN 1-4020-7377-1. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jinhwan Kim, Yunheung Paek, Gang-Ryung Uh Code optimizations for a VLIW-style network processing unit. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Zili Shao, Qingfeng Zhuge, Youtao Zhang, Edwin Hsing-Mean Sha Algorithms and analysis of scheduling for low-power high-performance DSP on VLIW processors. Search on Bibsonomy Int. J. High Perform. Comput. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Miquel Pericàs, Eduard Ayguadé, Javier Zalamea, Josep Llosa, Mateo Valero High-performance and low-power VLIW cores for numerical computations. Search on Bibsonomy Int. J. High Perform. Comput. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Miquel Pericàs, Eduard Ayguadé, Javier Zalamea, Josep Llosa, Mateo Valero Performance and Power Evaluation of Clustered VLIW Processors with Wide Functional Units. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Seokhoon Ju, Wonyong Sung Implementation of a digital color copier using a VLIW SIMD architecture. Search on Bibsonomy ICASSP (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Brian Valentine, Oliver P. Sohm Optimizing the JPEG2000 binary arithmetic encoder for VLIW architectures. Search on Bibsonomy ICASSP (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Alex K. Jones, Raymond Hoare, Ivan S. Kourtev, Joshua Fazekas, Dara Kusic, John Foster 0001, Sedric Boddie, Ahmed Muaydh A 64-way VLIW/SIMD FPGA architecture and design flow. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Montserrat Ros, Peter Sutton Code Compression Based on Operand-Factorization for VLIW Processor. Search on Bibsonomy Data Compression Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yu Hu 0016, Qing Li 0001, C.-C. Jay Kuo Efficient implementation of elliptic curve cryptography (ECC) on VLIW-micro-architecture media processor. Search on Bibsonomy ICME The full citation details ... 2004 DBLP  BibTeX  RDF
16Andreas Lundgren, Wido Kruijtzer A scalable VLIW for smart imaging. Search on Bibsonomy ESTIMedia The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Johannes Fürtler, Konrad J. Mayer, Werner Krattenthaler, Ivan Bajla SPOT - Development tool for software pipeline optimization for VLIW-DSPs used in real-time image processing. Search on Bibsonomy Real Time Imaging The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Andrea Lodi 0002, Mario Toma, Fabio Campi, Andrea Cappelli, Roberto Canegallo, Roberto Guerrieri A VLIW processor with reconfigurable instruction set for embedded applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Shorin Kyo, Takuya Koga, Shin'ichiro Okazaki, Ichiro Kuroda A 51.2-GOPS scalable video recognition processor for intelligent cruise control based on a linear array of 128 four-way VLIW processing elements. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev, Randal E. Bryant Effective use of Boolean satisfiability procedures in the formal verification of superscalar and VLIW microprocessors. Search on Bibsonomy J. Symb. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Satish Pillai, Margarida F. Jacome Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines. Search on Bibsonomy Embedded Software for SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Wayne H. Wolf, I. Burak Özer, Tiehan Lv Exploiting parallelism in media processing using VLIW processor. Search on Bibsonomy ICIP (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Flexible Compiler-Managed L0 Buffers for Clustered VLIW Processors. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Taeksang Hwang, Wonyong Sung Implementation of a digital copier using TMS320C6414 VLIW DSP processor. Search on Bibsonomy ICASSP (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16J. Prakash, C. Sandeep, Priti Shankar, Y. N. Srikant A Simple and Fast Scheme for Code Compression for VLIW Processors. Search on Bibsonomy DCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jun Tanahe, Yasuhiro Taniguchi, Takashi Miyamori, Yukimasa Miyamoto, Hideki Takeda, Masaya Tarui, Hiromitsu Nakayama, Nohuyulu Takeda, Kenichi Maeda, Masataka Matsui Visconti: multi-VLIW image recognition processor based on configurable processor [obstacle detection applications]. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Miquel Pericàs, Eduard Ayguadé, Javier Zalamea, Josep Llosa, Mateo Valero Power-Performance Trade-Offs in Wide and Clustered VLIW Cores for Numerical Codes. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti EPIC-Explorer: A Parameterized VLIW-based Platform Framework for Design Space Exploration. Search on Bibsonomy ESTIMedia The full citation details ... 2003 DBLP  BibTeX  RDF
16Davide Rizzo, Osvaldo Colavin, Shiva Navab A Runtime Reconfigurable Clustered VLIW Architecture for Mediaprocessing. Search on Bibsonomy ESTIMedia The full citation details ... 2003 DBLP  BibTeX  RDF
16G. R. Chaji, R. M. Pourrad, Seid Mehdi Fakhraie, Mohammad H. Tehranipour eUTDSP: a design study of a new VLIW-based DSP architecture. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Sunghyun Jee, Kannappan Palaniappan Performance of dynamically scheduling VLIW instructions. Search on Bibsonomy SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Ulrich Hirnschrott, Andreas Krall VLIW operation refinement for reducing energy consumption. Search on Bibsonomy SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Massimo Bariani Architectural exploration technology based on simulation of VLIW processors driven by multimedia applications for HW-SW co-design of embedded systems. Search on Bibsonomy 2003   RDF
16Luca Benini, Davide Bruni, Mauro Chinosi, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon A Framework for Modeling and Estimating the Energy Dissipation of VLIW-Based Embedded Systems. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Cagdas Akturan, Margarida F. Jacome An Effective Software Pipelining Algorithm for Clustered Embedded VLIW Processors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sanjive Agarwala, Timothy Anderson, Anthony M. Hill, M. D. Ales, Raguram Damodaran, Paul Wiley, Steven Mullinnix, J. Leach, Anthony Lell, Michael Gill, Arjun Rajagopal, Abhijeet Chachad, M. Agarwala, John Apostol, Manjeri Krishnan, Duc Bui, Quang An, N. S. Nagaraj, Tod Wolf, T. T. Elappuparackal A 600-MHz VLIW DSP. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Chris Basoglu, Woobin Lee, John O'Donnell The Equator MAP-CA™ DSP: an end-to-end broadband signal processor™ VLIW. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16K. H. Hong, Woon-Seng Gan, Yong Kim Chong, T. F. Cheong, S. H. Tan Rapid prototyping of DSP algorithms on VLIW TMS320C6701 DSP. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license