The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1D. A. Tran, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Michael E. Imhof, Hans-Joachim Wunderlich A pseudo-dynamic comparator for error detection in fault tolerant architectures. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicholas Tzou, Thomas Moon, Xian Wang 0003, Hyun Woo Choi, Abhijit Chatterjee Dual-frequency incoherent subsampling driven test response acquisition of spectrally sparse wideband signals with enhanced time resolution. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1V. Prasanth, Virendra Singh, Rubin A. Parekhji Derating based hardware optimizations in soft error tolerant designs. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ji Hwan (Paul) Chun, Siew Mooi Lim, Shao Chee Ong, Jae Wook Lee, Jacob A. Abraham Test of phase interpolators in high speed I/Os using a sliding window search. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dzmitry Maliuk, Nathan Kupp, Yiorgos Makris Towards a fully stand-alone analog/RF BIST: A cost-effective implementation of a neural classifier. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Benoit Nadeau-Dostie Test generator with preselected toggling for low power built-in self-test. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Baris Arslan, Alex Orailoglu Delay test resource allocation and scheduling for multiple frequency domains. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Panagiota Papavramidou, Michael Nicolaidis Test algorithms for ECC-based memory repair in nanotechnologies. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Zhao 0010, Sreejit Chakravarty, Junxia Ma, Narendra Devta-Prasanna, Fan Yang 0060, Mohammad Tehranipoor A novel method for fast identification of peak current during test. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bing-Yang Lin, Mincent Lee, Cheng-Wen Wu A Memory Failure Pattern Analyzer for memory diagnosis and repair. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Static test compaction for transition faults under the hazard-based detection conditions. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Patricia S. Lee, Ian G. Harris Test generation for subtractive specification errors. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sreejit Chakravarty, Narendra Devta-Prasanna, Arun Gunda, Junxia Ma, Fan Yang 0060, H. Guo, R. Lai, D. Li Silicon evaluation of faster than at-speed transition delay tests. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wen Yueh, Subho Chatterjee, Amit Ranjan Trivedi, Saibal Mukhopadhyay On the parametric failures of SRAM in a 3D-die stack considering tier-to-tier supply cross-talk. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haithem Ayari, Florence Azaïs, Serge Bernard, Mariane Comte, Michel Renovell, Vincent Kerzerho, Olivier Potin, Christophe Kelma Smart selection of indirect parameters for DC-based alternate RF IC testing. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, Mustapha Slamani Built-in-Self Test of transmitter I/Q mismatch using self-mixing envelope detector. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Amirali Ghofrani, Ritesh Parikh, Saeed Shamshiri, Andrew DeOrio, Kwang-Ting Cheng, Valeria Bertacco Comprehensive online defect diagnosis in on-chip networks. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Are advanced DfT structures sufficient for preventing scan-attacks? Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nourredine Akkouche, Salvador Mir, Emmanuel Simeu, Mustapha Slamani Analog/RF test ordering in the early stages of production testing. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michihiro Shintani, Takashi Sato A Bayesian-based process parameter estimation using IDDQ current signature. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xi Qian, Chao Han, Adit D. Singh Detection of gate-oxide defects with timing tests at reduced power supply. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peyman Pouyan, Esteve Amat, Antonio Rubio 0001 Process variability-aware proactive reconfiguration technique for mitigating aging effects in nano scale SRAM lifetime. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sushmita Kadiyala Rao, Chaitra Sathyanarayana, Ajay Kallianpur, Ryan W. Robucci, Chintan Patel Estimating Power Supply Noise and its impact on path delay. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yang Zhao, Lisa Grenier, Amitava Majumdar 0002 Power Characterization of Embedded SRAMs for Power Binning. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ying-Wen Chou, Po-Yuan Chen, Mincent Lee, Cheng-Wen Wu Cost modeling and analysis for interposer-based three-dimensional IC. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Advanced test methods for SRAMs. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jiun-Lang Huang, X.-L. Huang, Yung-Fa Chou, Ding-Ming Kwai A SAR ADC missing-decision level detection and removal technique. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peter Wohl, John A. Waicukauski, Jonathon E. Colburn Enhancing testability by structured partial scan. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Francisco J. Galarza-Medina, Jose Luis Garcia-Gervacio, Víctor H. Champac, Alex Orailoglu Small-delay defects detection under process variation using Inter-Path Correlation. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yong-Xiao Chen, Yu-Jen Huang, Jin-Fu Li 0001 Test cost optimization technique for the pre-bond test of 3D ICs. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Amit Ranjan Trivedi, Saibal Mukhopadhyay Self-adaptive power gating with test circuit for on-line characterization of energy inflection activity. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012 Search on Bibsonomy VTS The full citation details ... 2012 DBLP  BibTeX  RDF
1Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram A SMT-based diagnostic test generation method for combinational circuits. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shreepad Panth, Sung Kyu Lim Transition delay fault testing of 3D ICs with IR-drop study. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Onnik Yaglioglu, Ben Eldridge Direct connection and testing of TSV and microbump devices using NanoPierce™ contactor for 3D-IC integration. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mayur Bubna, Kaushik Roy 0001, Ashish Goel HBIST: An approach towards zero external test cost. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Suraj Sindia, Vishwani D. Agrawal Towards spatial fault resilience in array processors. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Thomas Moon, Nicholas Tzou, Xian Wang 0003, Hyun Woo Choi, Abhijit Chatterjee Low-cost high-speed pseudo-random bit sequence characterization using nonuniform periodic sampling in the presence of noise. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Prakash Narayanan, Rajesh Mittal, Sumanth Poddutur, Vivek Singhal, Puneet Sabbarwal Modified flip-flop architecture to reduce hold buffers and peak power during scan shift operation. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yasuhiro Takahashi, Akinori Maeda Multi Domain Test: Novel test strategy to reduce the Cost of Test. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Baosheng Wang, Jayalakshmi Rajaraman, Kanwaldeep Sobti, Derrick Losli, Jeff Rearick Structural tests of slave clock gating in low-power flip-flop. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA Search on Bibsonomy VTS The full citation details ... 2011 DBLP  BibTeX  RDF
1Yu-Jen Huang, Jin-Fu Li 0001, Ji-Jan Chen, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu A built-in self-test scheme for the post-bond test of TSVs in 3D ICs. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Suriyaprakash Natarajan, Arani Sinha The buck stops with wafer test: Dream or reality? Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eshan Singh Exploiting rotational symmetries for improved stacked yields in W2W 3D-SICs. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Neishaburi, Zeljko Zilic A distributed AXI-based platform for post-silicon validation. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeyavijayan Rajendran, Vinayaka Jyothi, Ozgur Sinanoglu, Ramesh Karri Design and analysis of ring oscillator based Design-for-Trust technique. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kanad Basu, Prabhat Mishra 0001 Efficient trace data compression using statically selected dictionary. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Siddharth Garg, Diana Marculescu Special session 4A: New topics parametric yield and reliability of 3D integrated circuits: New challenges and solutions. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amit Sanghani, Bo Yang, Karthikeyan Natarajan, Chunsheng Liu Design and implementation of a time-division multiplexing scan architecture using serializer and deserializer in GPU chips. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1LeRoy Winemberg, Mohammad Tehranipoor Special session: Hot topic: Smart silicon. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dongsoo Lee, Sang Phill Park, Ashish Goel, Kaushik Roy 0001 Memory-based embedded digital ATE. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ke Peng, Fang Bao, Geoff Shofner, LeRoy Winemberg, Mohammad Tehranipoor Case Study: Efficient SDD test generation for very large integrated circuits. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Julien Guilhemsang, Olivier Héron, Nicolas Ventroux, Olivier Goncalves, Alain Giulieri Impact of the application activity on intermittent faults in embedded systems. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Srinivasulu Alampally, R. T. Venkatesh, Priyadharshini Shanmugasundaram, Rubin A. Parekhji, Vishwani D. Agrawal An efficient test data reduction technique through dynamic pattern mixing across multiple fault models. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cheng-Wen Wu Special session: Hot topic design and test of 3D and emerging memories. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Arani Sinha, Suriyaprakash Natarajan The bang for the buck with resiliency: Yield or field? Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeffrey F. Wheeldon Calibrated high-efficiency testing and modelling methodologies for concentrated multi-junction solar cells. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nader Alawadhi, Ozgur Sinanoglu Revival of partial scan: Test cube analysis driven conversion of flip-flops. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michail Maniatakos, Yiorgos Makris, Prabhakar Kudva, Bruce M. Fleischer Exponent monitoring for low-cost concurrent error detection in FPU control logic. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ender Yilmaz, Anne Meixner, Sule Ozev An industrial case study of analog fault modeling. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Junxia Ma, Nisar Ahmed, Mohammad Tehranipoor Low-cost diagnostic pattern generation and evaluation procedures for noise-related failures. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhongwei Jiang, Zheng Wang, Jing Wang 0006, D. M. H. Walker Levelized low cost delay test compaction considering IR-drop induced power supply noise. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Suraj Sindia, Vishwani D. Agrawal, Virendra Singh Non-linear analog circuit test and diagnosis under process variation using V-Transform coefficients. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rajamani Sethuram, Karim Arabi, Mohamed H. Abu-Rahma Leakage power profiling and leakage power reduction using DFT hardware. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhen Zhang, Dimitri Refauvelet, Alain Greiner, Mounir Benabdenbi, François Pêcheux Localization of damaged resources in NoC based shared-memory MP2SOC, using a Distributed Cooperative Configuration Infrastructure. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaoqing Wen, Mohammad Tehranipoor, Rohit Kapur, Anand Bhat, Amitava Majumdar 0002, LeRoy Winemberg Special session 5B: Panel How much toggle activity should we be testing with? Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaoqing Wen, Kazunari Enokimoto, Kohei Miyase, Yuta Yamato, Michael A. Kochte, Seiji Kajihara, Patrick Girard 0001, Mohammad Tehranipoor Power-aware test generation with guaranteed launch safety for at-speed scan testing. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kurt Rosenfeld, Ramesh Karri Security-aware SoC test access mechanisms. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Samah Mohamed Saeed, Ozgur Sinanoglu Expedited response compaction for scan power reduction. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Saghir Shaikh Test and characterization of high-speed circuits. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Anne Gattiker Invited paper: Yin and Yang of embedded sensors for post-scaling-era. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz On clustering of undetectable transition faults in standard-scan circuits. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1W.-A. Lin, C.-C. Lee, J.-L. Huang Sigma-delta modulation based wafer-level testing for TFT-LCD source driver ICs. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nuno Alves, Yiwen Shi, Jennifer Dworak, R. Iris Bahar, Kundan Nepal Enhancing online error detection through area-efficient multi-site implications. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kyoung Youn Cho, Rajagopalan Srinivasan A scan cell architecture for inter-clock at-speed delay testing. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jesús Moreno, Víctor H. Champac, Michel Renovell A new methodology for realistic open defect detection probability evaluation under process variations. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dilip K. Bhavsar Harmony Widget for X-free scan testing. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shobha Vasudevan Coverage closure in SoC verification: Are we chasing a mirage? Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eun Jung Jang, Anne E. Gattiker, Sani R. Nassif, Jacob A. Abraham Efficient and product-representative timing model validation. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rudrajit Datta, Nur A. Touba Designing a fast and adaptive error correction scheme for increasing the lifetime of phase change memories. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wei Zhao 0010, Mohammad Tehranipoor, Sreejit Chakravarty Power-safe test application using an effective gating approach considering current limits. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Celestino V. Martins, Jorge Semião, Julio César Vázquez, Víctor H. Champac, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Adaptive Error-Prediction Flip-flop for performance failure prediction with aging sensors. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Songwei Pei, Huawei Li 0001, Xiaowei Li 0001 A unified test architecture for on-line and off-line delay fault detections. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yasuo Sato Special session: Multifaceted approaches for field reliability. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Static test compaction for delay fault test sets consisting of broadside and skewed-load tests. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ujjwal Guin, Chen-Huan Chiang Design for Bit Error Rate estimation of high speed serial links. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Valentin Gherman, Samuel Evain, Fabrice Auzanneau, Yannick Bonhomme Programmable extended SEC-DED codes for memory errors. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takushi Hashida, Yuuki Araga, Makoto Nagata A diagnosis testbench of analog IP cores against on-chip environmental disturbances. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta Low Coverage Analysis using dynamic un-testability debug in ATPG. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seongmoon Wang An efficient method to screen resistive opens under presence of process variation. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hsiu-Chuan Shih, Ching-Yi Chen, Cheng-Wen Wu, Chih-He Lin, Shyh-Shyuan Sheu Training-based forming process for RRAM yield improvement. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mike Laisne Advanced methods for leveraging new test standards. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nik Sumikawa, Dragoljub Gagi Drmanac, Li-C. Wang, LeRoy Winemberg, Magdy S. Abadir Understanding customer returns from a test perspective. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amitava Majumdar 0002, Arani Sinha, Nehal Patel, Ramamurthy Setty, Yan Dong, Shu-Hsuan Chou A Novel mechanism for speed characterization during delay test. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Aritra Banerjee, Shreyas Sen, Shyam Kumar Devarakond, Abhijit Chatterjee Automatic test stimulus generation for accurate diagnosis of RF systems using transient response signatures. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kee Sup Kim, Rob Roy Apprentice - VTS edition: Season 4. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Priyadharshini Shanmugasundaram, Vishwani D. Agrawal Dynamic scan clock control for test time reduction maintaining peak power limit. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wing Chiu Tam, Ronald D. Blanton SLIDER: A fast and accurate defect simulation framework. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shreepad Panth, Sung Kyu Lim Scan chain and power delivery network synthesis for pre-bond test of 3D ICs. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license