Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | D. A. Tran, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Michael E. Imhof, Hans-Joachim Wunderlich |
A pseudo-dynamic comparator for error detection in fault tolerant architectures. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Nicholas Tzou, Thomas Moon, Xian Wang 0003, Hyun Woo Choi, Abhijit Chatterjee |
Dual-frequency incoherent subsampling driven test response acquisition of spectrally sparse wideband signals with enhanced time resolution. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | V. Prasanth, Virendra Singh, Rubin A. Parekhji |
Derating based hardware optimizations in soft error tolerant designs. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Ji Hwan (Paul) Chun, Siew Mooi Lim, Shao Chee Ong, Jae Wook Lee, Jacob A. Abraham |
Test of phase interpolators in high speed I/Os using a sliding window search. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Dzmitry Maliuk, Nathan Kupp, Yiorgos Makris |
Towards a fully stand-alone analog/RF BIST: A cost-effective implementation of a neural classifier. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Benoit Nadeau-Dostie |
Test generator with preselected toggling for low power built-in self-test. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Baris Arslan, Alex Orailoglu |
Delay test resource allocation and scheduling for multiple frequency domains. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Panagiota Papavramidou, Michael Nicolaidis |
Test algorithms for ECC-based memory repair in nanotechnologies. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Wei Zhao 0010, Sreejit Chakravarty, Junxia Ma, Narendra Devta-Prasanna, Fan Yang 0060, Mohammad Tehranipoor |
A novel method for fast identification of peak current during test. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Bing-Yang Lin, Mincent Lee, Cheng-Wen Wu |
A Memory Failure Pattern Analyzer for memory diagnosis and repair. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Irith Pomeranz |
Static test compaction for transition faults under the hazard-based detection conditions. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Patricia S. Lee, Ian G. Harris |
Test generation for subtractive specification errors. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Sreejit Chakravarty, Narendra Devta-Prasanna, Arun Gunda, Junxia Ma, Fan Yang 0060, H. Guo, R. Lai, D. Li |
Silicon evaluation of faster than at-speed transition delay tests. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Wen Yueh, Subho Chatterjee, Amit Ranjan Trivedi, Saibal Mukhopadhyay |
On the parametric failures of SRAM in a 3D-die stack considering tier-to-tier supply cross-talk. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Haithem Ayari, Florence Azaïs, Serge Bernard, Mariane Comte, Michel Renovell, Vincent Kerzerho, Olivier Potin, Christophe Kelma |
Smart selection of indirect parameters for DC-based alternate RF IC testing. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, Mustapha Slamani |
Built-in-Self Test of transmitter I/Q mismatch using self-mixing envelope detector. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Amirali Ghofrani, Ritesh Parikh, Saeed Shamshiri, Andrew DeOrio, Kwang-Ting Cheng, Valeria Bertacco |
Comprehensive online defect diagnosis in on-chip networks. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre |
Are advanced DfT structures sufficient for preventing scan-attacks? |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Nourredine Akkouche, Salvador Mir, Emmanuel Simeu, Mustapha Slamani |
Analog/RF test ordering in the early stages of production testing. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Michihiro Shintani, Takashi Sato |
A Bayesian-based process parameter estimation using IDDQ current signature. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Xi Qian, Chao Han, Adit D. Singh |
Detection of gate-oxide defects with timing tests at reduced power supply. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Peyman Pouyan, Esteve Amat, Antonio Rubio 0001 |
Process variability-aware proactive reconfiguration technique for mitigating aging effects in nano scale SRAM lifetime. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Sushmita Kadiyala Rao, Chaitra Sathyanarayana, Ajay Kallianpur, Ryan W. Robucci, Chintan Patel |
Estimating Power Supply Noise and its impact on path delay. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Yang Zhao, Lisa Grenier, Amitava Majumdar 0002 |
Power Characterization of Embedded SRAMs for Power Binning. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Ying-Wen Chou, Po-Yuan Chen, Mincent Lee, Cheng-Wen Wu |
Cost modeling and analysis for interposer-based three-dimensional IC. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel |
Advanced test methods for SRAMs. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Jiun-Lang Huang, X.-L. Huang, Yung-Fa Chou, Ding-Ming Kwai |
A SAR ADC missing-decision level detection and removal technique. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Peter Wohl, John A. Waicukauski, Jonathon E. Colburn |
Enhancing testability by structured partial scan. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Francisco J. Galarza-Medina, Jose Luis Garcia-Gervacio, Víctor H. Champac, Alex Orailoglu |
Small-delay defects detection under process variation using Inter-Path Correlation. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Yong-Xiao Chen, Yu-Jen Huang, Jin-Fu Li 0001 |
Test cost optimization technique for the pre-bond test of 3D ICs. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Amit Ranjan Trivedi, Saibal Mukhopadhyay |
Self-adaptive power gating with test circuit for on-line characterization of energy inflection activity. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | |
30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012 |
VTS |
2012 |
DBLP BibTeX RDF |
|
1 | Sarvesh Prabhu, Michael S. Hsiao, Loganathan Lingappan, Vijay Gangaram |
A SMT-based diagnostic test generation method for combinational circuits. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Shreepad Panth, Sung Kyu Lim |
Transition delay fault testing of 3D ICs with IR-drop study. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Onnik Yaglioglu, Ben Eldridge |
Direct connection and testing of TSV and microbump devices using NanoPierce™ contactor for 3D-IC integration. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Mayur Bubna, Kaushik Roy 0001, Ashish Goel |
HBIST: An approach towards zero external test cost. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Suraj Sindia, Vishwani D. Agrawal |
Towards spatial fault resilience in array processors. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Thomas Moon, Nicholas Tzou, Xian Wang 0003, Hyun Woo Choi, Abhijit Chatterjee |
Low-cost high-speed pseudo-random bit sequence characterization using nonuniform periodic sampling in the presence of noise. |
VTS |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Prakash Narayanan, Rajesh Mittal, Sumanth Poddutur, Vivek Singhal, Puneet Sabbarwal |
Modified flip-flop architecture to reduce hold buffers and peak power during scan shift operation. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Yasuhiro Takahashi, Akinori Maeda |
Multi Domain Test: Novel test strategy to reduce the Cost of Test. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Baosheng Wang, Jayalakshmi Rajaraman, Kanwaldeep Sobti, Derrick Losli, Jeff Rearick |
Structural tests of slave clock gating in low-power flip-flop. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | |
29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA |
VTS |
2011 |
DBLP BibTeX RDF |
|
1 | Yu-Jen Huang, Jin-Fu Li 0001, Ji-Jan Chen, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu |
A built-in self-test scheme for the post-bond test of TSVs in 3D ICs. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Suriyaprakash Natarajan, Arani Sinha |
The buck stops with wafer test: Dream or reality? |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Eshan Singh |
Exploiting rotational symmetries for improved stacked yields in W2W 3D-SICs. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Hossein Neishaburi, Zeljko Zilic |
A distributed AXI-based platform for post-silicon validation. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Jeyavijayan Rajendran, Vinayaka Jyothi, Ozgur Sinanoglu, Ramesh Karri |
Design and analysis of ring oscillator based Design-for-Trust technique. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Kanad Basu, Prabhat Mishra 0001 |
Efficient trace data compression using statically selected dictionary. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Siddharth Garg, Diana Marculescu |
Special session 4A: New topics parametric yield and reliability of 3D integrated circuits: New challenges and solutions. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Amit Sanghani, Bo Yang, Karthikeyan Natarajan, Chunsheng Liu |
Design and implementation of a time-division multiplexing scan architecture using serializer and deserializer in GPU chips. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | LeRoy Winemberg, Mohammad Tehranipoor |
Special session: Hot topic: Smart silicon. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Dongsoo Lee, Sang Phill Park, Ashish Goel, Kaushik Roy 0001 |
Memory-based embedded digital ATE. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Ke Peng, Fang Bao, Geoff Shofner, LeRoy Winemberg, Mohammad Tehranipoor |
Case Study: Efficient SDD test generation for very large integrated circuits. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Julien Guilhemsang, Olivier Héron, Nicolas Ventroux, Olivier Goncalves, Alain Giulieri |
Impact of the application activity on intermittent faults in embedded systems. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Srinivasulu Alampally, R. T. Venkatesh, Priyadharshini Shanmugasundaram, Rubin A. Parekhji, Vishwani D. Agrawal |
An efficient test data reduction technique through dynamic pattern mixing across multiple fault models. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Cheng-Wen Wu |
Special session: Hot topic design and test of 3D and emerging memories. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Arani Sinha, Suriyaprakash Natarajan |
The bang for the buck with resiliency: Yield or field? |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Jeffrey F. Wheeldon |
Calibrated high-efficiency testing and modelling methodologies for concentrated multi-junction solar cells. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Nader Alawadhi, Ozgur Sinanoglu |
Revival of partial scan: Test cube analysis driven conversion of flip-flops. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Michail Maniatakos, Yiorgos Makris, Prabhakar Kudva, Bruce M. Fleischer |
Exponent monitoring for low-cost concurrent error detection in FPU control logic. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Ender Yilmaz, Anne Meixner, Sule Ozev |
An industrial case study of analog fault modeling. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Junxia Ma, Nisar Ahmed, Mohammad Tehranipoor |
Low-cost diagnostic pattern generation and evaluation procedures for noise-related failures. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Zhongwei Jiang, Zheng Wang, Jing Wang 0006, D. M. H. Walker |
Levelized low cost delay test compaction considering IR-drop induced power supply noise. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Suraj Sindia, Vishwani D. Agrawal, Virendra Singh |
Non-linear analog circuit test and diagnosis under process variation using V-Transform coefficients. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Rajamani Sethuram, Karim Arabi, Mohamed H. Abu-Rahma |
Leakage power profiling and leakage power reduction using DFT hardware. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Zhen Zhang, Dimitri Refauvelet, Alain Greiner, Mounir Benabdenbi, François Pêcheux |
Localization of damaged resources in NoC based shared-memory MP2SOC, using a Distributed Cooperative Configuration Infrastructure. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Xiaoqing Wen, Mohammad Tehranipoor, Rohit Kapur, Anand Bhat, Amitava Majumdar 0002, LeRoy Winemberg |
Special session 5B: Panel How much toggle activity should we be testing with? |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Xiaoqing Wen, Kazunari Enokimoto, Kohei Miyase, Yuta Yamato, Michael A. Kochte, Seiji Kajihara, Patrick Girard 0001, Mohammad Tehranipoor |
Power-aware test generation with guaranteed launch safety for at-speed scan testing. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Kurt Rosenfeld, Ramesh Karri |
Security-aware SoC test access mechanisms. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Samah Mohamed Saeed, Ozgur Sinanoglu |
Expedited response compaction for scan power reduction. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Saghir Shaikh |
Test and characterization of high-speed circuits. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Anne Gattiker |
Invited paper: Yin and Yang of embedded sensors for post-scaling-era. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Irith Pomeranz |
On clustering of undetectable transition faults in standard-scan circuits. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | W.-A. Lin, C.-C. Lee, J.-L. Huang |
Sigma-delta modulation based wafer-level testing for TFT-LCD source driver ICs. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Nuno Alves, Yiwen Shi, Jennifer Dworak, R. Iris Bahar, Kundan Nepal |
Enhancing online error detection through area-efficient multi-site implications. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Kyoung Youn Cho, Rajagopalan Srinivasan |
A scan cell architecture for inter-clock at-speed delay testing. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Jesús Moreno, Víctor H. Champac, Michel Renovell |
A new methodology for realistic open defect detection probability evaluation under process variations. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Dilip K. Bhavsar |
Harmony Widget for X-free scan testing. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Shobha Vasudevan |
Coverage closure in SoC verification: Are we chasing a mirage? |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Eun Jung Jang, Anne E. Gattiker, Sani R. Nassif, Jacob A. Abraham |
Efficient and product-representative timing model validation. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Rudrajit Datta, Nur A. Touba |
Designing a fast and adaptive error correction scheme for increasing the lifetime of phase change memories. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Wei Zhao 0010, Mohammad Tehranipoor, Sreejit Chakravarty |
Power-safe test application using an effective gating approach considering current limits. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Celestino V. Martins, Jorge Semião, Julio César Vázquez, Víctor H. Champac, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 |
Adaptive Error-Prediction Flip-flop for performance failure prediction with aging sensors. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Songwei Pei, Huawei Li 0001, Xiaowei Li 0001 |
A unified test architecture for on-line and off-line delay fault detections. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Yasuo Sato |
Special session: Multifaceted approaches for field reliability. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Irith Pomeranz |
Static test compaction for delay fault test sets consisting of broadside and skewed-load tests. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Ujjwal Guin, Chen-Huan Chiang |
Design for Bit Error Rate estimation of high speed serial links. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Valentin Gherman, Samuel Evain, Fabrice Auzanneau, Yannick Bonhomme |
Programmable extended SEC-DED codes for memory errors. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Takushi Hashida, Yuuki Araga, Makoto Nagata |
A diagnosis testbench of analog IP cores against on-chip environmental disturbances. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta |
Low Coverage Analysis using dynamic un-testability debug in ATPG. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Seongmoon Wang |
An efficient method to screen resistive opens under presence of process variation. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Hsiu-Chuan Shih, Ching-Yi Chen, Cheng-Wen Wu, Chih-He Lin, Shyh-Shyuan Sheu |
Training-based forming process for RRAM yield improvement. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Mike Laisne |
Advanced methods for leveraging new test standards. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Nik Sumikawa, Dragoljub Gagi Drmanac, Li-C. Wang, LeRoy Winemberg, Magdy S. Abadir |
Understanding customer returns from a test perspective. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Amitava Majumdar 0002, Arani Sinha, Nehal Patel, Ramamurthy Setty, Yan Dong, Shu-Hsuan Chou |
A Novel mechanism for speed characterization during delay test. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Aritra Banerjee, Shreyas Sen, Shyam Kumar Devarakond, Abhijit Chatterjee |
Automatic test stimulus generation for accurate diagnosis of RF systems using transient response signatures. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Kee Sup Kim, Rob Roy |
Apprentice - VTS edition: Season 4. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Priyadharshini Shanmugasundaram, Vishwani D. Agrawal |
Dynamic scan clock control for test time reduction maintaining peak power limit. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Wing Chiu Tam, Ronald D. Blanton |
SLIDER: A fast and accurate defect simulation framework. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|
1 | Shreepad Panth, Sung Kyu Lim |
Scan chain and power delivery network synthesis for pre-bond test of 3D ICs. |
VTS |
2011 |
DBLP DOI BibTeX RDF |
|