The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for buffer with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1972 (16) 1973-1976 (26) 1977 (15) 1978-1979 (21) 1980-1981 (24) 1982-1983 (23) 1984 (22) 1985 (20) 1986 (24) 1987 (23) 1988 (44) 1989 (52) 1990 (55) 1991 (59) 1992 (75) 1993 (90) 1994 (110) 1995 (181) 1996 (148) 1997 (162) 1998 (162) 1999 (216) 2000 (242) 2001 (311) 2002 (319) 2003 (406) 2004 (489) 2005 (611) 2006 (628) 2007 (593) 2008 (583) 2009 (426) 2010 (274) 2011 (239) 2012 (201) 2013 (235) 2014 (237) 2015 (268) 2016 (305) 2017 (277) 2018 (246) 2019 (257) 2020 (206) 2021 (239) 2022 (175) 2023 (182) 2024 (56)
Publication types (Num. hits)
article(3582) book(2) data(1) incollection(29) inproceedings(5926) phdthesis(32) proceedings(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6695 occurrences of 2731 keywords

Results
Found 9574 publication records. Showing 9573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Jingyu Xu, Xianlong Hong, Tong Jing Timing-driven global routing with efficient buffer insertion. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Hongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen 0001 A New Buffer Planning Algorithm Based on Room Resizing. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Stephen O'Kane, Colm McKillen, Sakir Sezer The Design and Implementation of a Shared Packet Buffer Architecture for Fixed and Variable Sized Packets. Search on Bibsonomy AICT/SAPIR/ELETE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reg
23Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Sang-Heon Shin, Hae-Joon Shin, Young-Tak Kim Packet lossless fast rerouting scheme with minimized buffer delay. Search on Bibsonomy NOMS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Cruz Izu, Ramón Beivide Understanding Buffer Management for Cut-Through 1D Rings. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Jingcao Hu, Radu Marculescu Application-specific buffer space allocation for networks-on-chip router design. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Yongjin Kim Admission Control and Resource Allocation with Improved Effective Bandwidth/Buffer Calculation Method. Search on Bibsonomy ICOIN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Aishwarya Iyer, Lorie M. Liebrock Vulnerability Scanning for Buffer Overflow. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Zili Shao, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha, Bin Xiao 0001 Security Protection and Checking in Embedded System Integration Against Buffer Overflow Attacks. Search on Bibsonomy ITCC (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Wei-Min Yao, Yaw-Chung Chen An Enhanced Buffer Management Scheme for Fast Handover Protocol. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Nikolay B. Likhanov, Ravi Mazumdar, Ozcan Ozturk 0002 Large Buffer Asymptotics for Fluid Queues with Heterogeneous M/G/infinity Weibullian Inputs. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stationary tail distributions, queues, asymptotics, Weibull distributions
23Chiu-Wing Sham, Evangeline F. Y. Young Routability-driven floorplanner with buffer block planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Jiang Hu, Charles J. Alpert, Stephen T. Quay, Gopal Gandham Buffer insertion with adaptive blockage avoidance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Milos Hrkic, John Lillis Buffer tree synthesis with consideration of temporal locality, sink polarity requirements, solution cost, congestion, and blockages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Alexander Kesselman, Zvi Lotker, Yishay Mansour, Boaz Patt-Shamir Buffer Overflows of Merging Streams. Search on Bibsonomy ESA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Christopher Dahn, Spiros Mancoridis Using Program Transformation to Secure C Programs Against Buffer Overflows. Search on Bibsonomy WCRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Yong Woon Park, Si Woong Jang Continuous Media Streams Service Based on the Adaptive Buffer Sharing Policy. Search on Bibsonomy ICWE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Ming-Dou Ker, Chia-Sheng Tsai Design of 2.5 V/5 V mixed-voltage CMOS I/O buffer with only thin oxide device and dynamic N-well bias circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Roel Martínez, László Szirmay-Kalos, Mateu Sbert A Multiple Depth Buffer Implementation for Radiosity. Search on Bibsonomy ICCSA (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Michael C. Fu 0001, Xiaolan Xie Derivative Estimation for Buffer Capacity of Continuous Transfer Lines Subject to Operation-Dependent Failures. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF transfer lines, continuous flow, operation-dependent failures, perturbation analysis
23Wai-Chiu Wong, Chiu-Wing Sham, Evangeline F. Y. Young Congestion Estimation with Buffer Planning in Floorplan Design. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Jin-Hee Choi, See-hwan Yoo, Chuck Yoo A flow control scheme based on buffer state for wireless TCP. Search on Bibsonomy MWCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Milos Hrkic, John Lillis Buffer tree synthesis with consideration of temporal locality, sink polarity requirements, solution cost and blockages. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Pieter-Tjerk de Boer, Dirk P. Kroese, Reuven Y. Rubinstein Rare event simulation and combinatorial optimization using cross entropy: estimating buffer overflows in three stages using cross-entropy. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Zhi Shi, C.-C. Jay Kuo Recursive patching for video-on-demand (VOD) systems with limited client buffer constraint. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Shigeki Tomishima, Hiroaki Tanizaki, Mitsutaka Niiro, Masanao Maruta, Hideto Hidaka, T. Tada, Kenji Gamo A Variable Drivability (VD) Output Buffer for the System In a Package (SIP) and High Frequency Wafer Test. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Praveen K. Murthy, Shuvra S. Bhattacharyya Shared buffer implementations of signal processing systems usinglifetime analysis techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Jörg Liebeherr, Nicolas Christin JoBS: Joint Buffer Management and Scheduling for Differentiated Services. Search on Bibsonomy IWQoS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Hayder Radha, Dmitri Loguinov Encoder Buffer Constraints for Video Transmission Over Networks with No Quality-of-Service Guarantees. Search on Bibsonomy ISCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Enrique Hernández-Orallo, Joan Vila i Carbó An Optimal Method for Allocating Buffer and Bandwidth for MPEG Traffic in a Network Node. Search on Bibsonomy EUROMICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Yang-Seo Choi, Dong-il Seo, Sung Won Sohn A New Stack Buffer Overflow Hacking Defense Technique with Memory Address Confirmation. Search on Bibsonomy ICISC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen A Buffer-Oriented Methodology for Microarchitecture Validation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF processor validation, superscalar microarchitecture, design validation
23Mike J. G. Lewis, L. E. M. Brackenbury An Instruction Buffer for a Low-Power DSP. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Techniques for Effectively Exploiting a Zero Overhead Loop Buffer. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Michael X. Weng Simulation in production scheduling: scheduling flow-shops with limited buffer spaces. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Effective Exploitation of a Zero Overhead Loop Buffer. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Waiming Kong, Masayuki Nakajima Visible Volume Buffer for Efficient Hair Expression and Shadow Generation. Search on Bibsonomy CA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Björn Þór Jónsson 0001, Michael J. Franklin, Divesh Srivastava Interaction of Query Evaluation and Buffer Management for Information Retrieval. Search on Bibsonomy SIGMOD Conference The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23C. John Glossner, Stamatis Vassiliadis DELFT-JAVA Link Translation Buffer. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Thomas Fahringer, Eduard Mehofer Buffer-Safe Communication Optimization Based on Data Flow Analysis and Performance Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Communication, Performance Prediction, Data Flow Analysis, Communication Optimization
23Andrew Warner, Qiang Li, Thomas F. Keefe, Shankar Pal The Impact of Multilevel Security on Database Buffer Management. Search on Bibsonomy ESORICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Jun Dong Cho, Majid Sarrafzadeh A buffer distribution algorithm for high-performance clock net optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23John Sieg Jr., David Pinkney, James Lamoureaux Scheduling Query Plans with Buffer-Requirement Estimates. Search on Bibsonomy BNCOD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Giovanni Maria Sacco, Mario Schkolnick Buffer Management in Relational Database Systems. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Douglas W. Clark, Joel S. Emer Performance of the VAX-11/780 Translation Buffer: Simulation and Measurement Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF VAX
22Hyuk-Jun Lee, Eui-Young Chung Scalable QoS-Aware Memory Controller for High-Bandwidth Packet Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vishal Khandelwal, Ankur Srivastava 0001 Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yifang Liu, Jiang Hu, Weiping Shi Buffering Interconnect for Multicore Processor Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Mohammed Al-Rawi, Riku Jäntti, Johan Torsner, Mats Sågfors On the performance of Heuristic opportunistic scheduling in the uplink of 3G LTE networks. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Tjerk Bijlsma, Marco Bekooij, Pierre G. Jansen, Gerard J. M. Smit Communication between nested loop programs via circular buffers in an embedded multiprocessor system. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel ROAdNoC: runtime observability for an adaptive network on chip architecture. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Raj Sharman, Shiva Shankar Ramanna, Ram Ramesh, Ram D. Gopal Cache architecture for on-demand streaming on the Web. Search on Bibsonomy ACM Trans. Web The full citation details ... 2007 DBLP  DOI  BibTeX  RDF selective retransmissions, quality of service, Web caching, buffering, edge cache, on-demand streaming
22Dayong Tao, Hai Hoang, Jianfei Cai 0001 Optimal Frame Selection with Adaptive Playout for Delivering Stored Video under Constrained Resources. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Atsushi Kawano, Junichi Funasaka, Kenji Ishida Parallel Downloading Using Variable Length Blocks for Proxy Servers. Search on Bibsonomy ICDCS Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network-on-chip, low-power design
22Minqiang Jiang, Nam Ling Low-delay rate control for real-time H.264/AVC video coding. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Zhuoqun Sun, Emmanuel C. Ifeachor Voice Quality Prediction Models and Their Application in VoIP Networks. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Young-Duk Kim, Sang-Heon Lee 0003, Dong-Ha Lee DCAR: Dynamic Congestion Aware Routing Protocol in Mobile Ad Hoc Networks. Search on Bibsonomy ICUCT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Ad hoc networks, Load balancing, Routing protocols
22Tanir Ozcelebi, M. Reha Civanlar, A. Murat Tekalp Minimum delay content adaptive video streaming over variable bitrate channels with a novel stream switching solution. Search on Bibsonomy ICIP (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22David Hay, Gabriel Scalosub Jitter Regulation for Multiple Streams. Search on Bibsonomy ESA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Lei Wang, James R. Cordy, Thomas R. Dean Enhancing Security Using Legality Assertions. Search on Bibsonomy WCRE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Irfan-Ullah Awan, Rod J. Fretwell Analysis of Discrete-Time Queues with Space and Service Priorities for Arbitrary Arrival Processes. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Tao Cai, Shiguang Ju, JuenJie Zhao, DeJiao Niu Research of Hot-Spot Selection Algorithm in Virtual Address Switch. Search on Bibsonomy ISPA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shyh-Fang Huang, Eric Hsiao-Kuang Wu, Pao-Chi Chang Adaptive VoIP Smoothing of Pareto Traffic Based on Optimal E-Model Quality. Search on Bibsonomy PCM (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Adam Engelhart, Mark K. Gardner, Wu-chun Feng Re-Architecting Flow Control Adaptation for Grid Environments. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Charles J. Alpert, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky Minimum buffered routing with bounded capacitive load for slew rate and reliability control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Hyunok Oh, Soonhoi Ha Efficient code synthesis from extended dataflow graphs for multimedia applications. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multimedia, dataflow, software synthesis, memory optimization
22Kenneth M. Wilson, Kunle Olukotun High Bandwidth On-Chip Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Dynamic superscalar, banked cache, dual-ported cache, SPEC95, memory bandwidth
22Charles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay Interconnect synthesis without wire tapering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Charles J. Alpert, Gopal Gandham, Jiang Hu, José Luis Neves, Stephen T. Quay, Sachin S. Sapatnekar Steiner tree optimization for buffers, blockages, and bays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Bernd Girod, Niko Färber, Eckehard G. Steinbach Adaptive playout for low latency video streaming. Search on Bibsonomy ICIP (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Enric Morancho, José María Llabería, Àngel Olivé Recovery Mechanism for Latency Misprediction. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Pierre Michaud, André Seznec Data-Flow Prescheduling for Large Instruction Windows in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Zhen He, Alonso Marquez Cache Conscious Clustering C3. Search on Bibsonomy DEXA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Tiecheng Liu, John R. Kender Time-constrained Dynamic Semantic Compression for Video Indexing and Interactive Searching. Search on Bibsonomy CVPR (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Seok-Kyu Kweon, Kang G. Shin Transmission of Aggregate VoD Streams Using Playback Rate. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF playback rate, statistical traffic envelope, Video-on-Demand (VoD), MPEG
22Alexander Thomasian Performance Analysis of Database Systems. Search on Bibsonomy Performance Evaluation The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Hisashi Koga Jitter Regulation in an Internet Router with Delay Consideration. Search on Bibsonomy ESA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Yan Yang, Sheila S. Hemami Separate Source and Channel Rate Selection for Video over ATM. Search on Bibsonomy Data Compression Conference The full citation details ... 2000 DBLP  DOI  BibTeX  RDF video rate control, minimum distortion variation, video over ATM, video compression
22Sanjay G. Rao, S. V. Raghavan Fast Techniques for the Optimal Smoothing of Stored Video. Search on Bibsonomy Multim. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Prefetching, Video-on-demand, Video compression, Bandwidth smoothing
22Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte A Fast Interrupt Handling Scheme for VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue
22Feihong Chen, Bülent Yener, Ali N. Akansu, Sirin Tekinay A Novel Performance Analysis for the Copy Network in a Multicast ATM Switch. Search on Bibsonomy ICCCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Copy Network, Multicast, ATM switch
22Wu-Yuin Hwang, Wen-Tsuen Chen, Yao-Wen Deng A High-Performance ATM Switch with Completely and Fairly Shared Buffers. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Xiaolin Wu 0001 YIQ vector quantization in a new color palette architecture. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Byungho Kim, Boseob Kwon, Jinchun Kim, Hyunsoo Yoon, Jung Wan Cho Performance analysis of an ATM switch with multiple paths. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Scott T. Leutenegger, Daniel M. Dias A Modeling Study of the TPC-C Benchmark. Search on Bibsonomy SIGMOD Conference The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Asit Dan, Philip S. Yu, Jen-Yao Chung Characterization of Database Access Skew in a Transaction Processing Environment. Search on Bibsonomy SIGMETRICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Rosalee Nerheim A parallel architecture for rapid image generation and analysis (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Lothar F. Mackert, Guy M. Lohman R* Optimizer Validation and Performance Evaluation for Local Queries. Search on Bibsonomy SIGMOD Conference The full citation details ... 1986 DBLP  DOI  BibTeX  RDF SQL
22Sakti Pramanik, David Ittner Use of Graph-Theoretic Models for Optimal Relational Database Accesses to Perform Join. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
19Jelena Trajkovic, Alexander V. Veidenbaum, Arun Kejariwal Improving SDRAM access energy efficiency for low-power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processors and low power, fetch buffer, write-combining buffer, SDRAM
19Hong Chen, Xinyang Shen Computing the Stationary Distribution of an SRBM in an Orthant with Applications to Queueing Networks. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Brownian approximation, semimartingale reflecting Brownian motion, infinite buffer, BNAfm, BNAsm, basic adjoint relationship, performance analysis, finite element method, queueing networks, numerical algorithm, heavy traffic, stationary distribution, finite buffer
19Yichen Xie 0001, Andy Chou, Dawson R. Engler ARCHER: using symbolic, path-sensitive analysis to detect memory access errors. Search on Bibsonomy ESEC / SIGSOFT FSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF memory access errors, security, static analysis, error detection, buffer overflow, buffer overrun
19Ibrahim Matta, Liang Guo 0016 Differentiated Predictive Fair Service for TCP Flows. Search on Bibsonomy ICNP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF differentiated predictive fair service, long data transfer, short data transfer, TCP service, low-cost service differentiation, life-time/size, service queues, arrivals/departures, congestion/sending window dynamics, random-early-drop packet dropping, tail-drop packet dropping, simulations, Internet, performance, congestion control, Transmission Control Protocol, transport protocols, queueing theory, packet switching, routers, buffer storage, telecommunication network routing, control theory, telecommunication traffic, data communication, traffic, telecommunication congestion control, buffer size, TCP flows
19Matthias Menge Superskalare Prozessoren. Search on Bibsonomy Inform. Spektrum The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scoreboarding, Reservierungseinheit, Competion-Unit, Retirement-Unit, History-Buffer, Reorder-Buffer
19Atsushi Takahashi 0001, Kazunori Inoue, Yoji Kajitani Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-tree routing, semi-synchronous, deferred-merge-embedding (DME), synchronous, buffer insertion, buffer sizing, clock-schedule
Displaying result #601 - #700 of 9573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license