|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2974 occurrences of 1216 keywords
|
|
|
Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Shiqing Li, Weichen Liu |
Accelerating Gustavson-based SpMM on Embedded FPGAs with Element-wise Parallelism and Access Pattern-aware Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023, pp. 1-6, 2023, IEEE, 978-3-9819263-7-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Charul Rajput, B. Sundar Rajan |
On Cache-aided Multi-user Private Information Retrieval with Small Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITW ![In: IEEE Information Theory Workshop, ITW 2023, Saint-Malo, France, April 23-28, 2023, pp. 153-158, 2023, IEEE, 979-8-3503-0149-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Maryam Babaie, Ayaz Akram, Jason Lowe-Power |
Enabling Design Space Exploration of DRAM Caches for Emerging Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2023, Raleigh, NC, USA, April 23-25, 2023, pp. 340-342, 2023, IEEE, 979-8-3503-9739-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Behnaz Merikhi, Mohammad Reza Soleymani |
Cache-Aided Networks with Shared Caches and Correlated Content under Non-Uniform Demands. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCNC ![In: 20th IEEE Consumer Communications & Networking Conference, CCNC 2023, Las Vegas, NV, USA, January 8-11, 2023, pp. 301-304, 2023, IEEE, 978-1-6654-9734-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Zilu Li, Guandao Yang, Xi Deng, Christopher De Sa, Bharath Hariharan, Steve Marschner |
Neural Caches for Monte Carlo Partial Differential Equation Solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Asia ![In: SIGGRAPH Asia 2023 Conference Papers, SA 2023, Sydney, NSW, Australia, December 12-15, 2023, pp. 34:1-34:10, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Ziyue Deng, Alex Sim, Kesheng Wu, Chin Guok, Damian Hazen, Inder Monga, Fabio Andrijauskas, Frank Würthwein, Derek Weitzel |
Analyzing Transatlantic Network Traffic over Scientific Data Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNTA@HPDC ![In: Proceedings of the 2023 on Systems and Network Telemetry and Analytics, SNTA 2023, Orlando, FL, USA, 20 June 2023, pp. 19-22, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Jason St. John, Alex Younts |
Overcoming Active Directory Woes with Plain Text Caches and Replacing Passwords. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC Workshops ![In: Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis, SC-W 2023, Denver, CO, USA, November 12-17, 2023, pp. 588-590, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Michael A. Bender, Rathish Das, Martin Farach-Colton, Guido Tagliavini |
An Associativity Threshold Phenomenon in Set-Associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the 35th ACM Symposium on Parallelism in Algorithms and Architectures, SPAA 2023, Orlando, FL, USA, June 17-19, 2023, pp. 117-127, 2023, ACM, 978-1-4503-9545-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Mohammadamin Ajdari, Pouria Peykani Sani, Amirhossein Moradi, Masoud Khanalizadeh Imani, Amir Hossein Bazkhanei, Hossein Asadi 0001 |
Re-architecting I/O Caches for Emerging Fast Storage Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (3) ![In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, ASPLOS 2023, Vancouver, BC, Canada, March 25-29, 2023, pp. 542-555, 2023, ACM, 978-1-4503-9918-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Swatilekha Majumdar |
CAWPR: Contention Aware Write Preemptive Management Policy for Hybrid Last Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2023, Hyderabad, India, November 19-22, 2023, pp. 294-298, 2023, IEEE, 979-8-3503-8119-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Nathan Henderson, Iain Ireland, Matthew Gaudet, João P. L. de Carvalho, José Nelson Amaral |
Stub Folding: Retaining Type Specialization to Increase the Efficiency of Highly Polymorphic Inline Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 33rd Annual International Conference on Computer Science and Software Engineering, CASCON 2023, Las Vegas, NV, USA, September 11-14, 2023, pp. 113-122, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
13 | Yirang Lim, MinA Youn, Hyunji Chung, Jungheum Park, Graeme Horsman, Sangjin Lee 0002 |
Characterizing client-side caches of audiovisual content sharing services: Findings and suggestions for forensics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Secur. Appl. ![In: J. Inf. Secur. Appl. 65, pp. 103102, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Martina Pappalardo, Antonio Virdis, Enzo Mingozzi |
Energy-Optimized Content Refreshing of Age-of-Information-Aware Edge Caches in IoT Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Internet ![In: Future Internet 14(7), pp. 197, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Mahadesh Panju, Ramkumar Raghu, Vinod Sharma, Vaneet Aggarwal, Ramachandran Rajesh |
Queueing Theoretic Models for Uncoded and Coded Multicast Wireless Networks With Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 21(2), pp. 1257-1271, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Bruno Marques Cremonezi, Airton Ribeiro Gomes Filho, Edelberto Franco Silva, José Augusto Miranda Nacif, Alex Borges Vieira, Michele Nogueira |
Improving the attribute retrieval on ABAC using opportunistic caches for Fog-Based IoT Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Networks ![In: Comput. Networks 213, pp. 109000, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Yongjun Kim, Yuze Chen, Yongho Lee, Limei Peng, Seokin Hong |
Proactively Invalidating Dead Blocks to Enable Fast Writes in STT-MRAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 29419-29431, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Giovanni Brignone, M. Usman Jamal, Mihai T. Lazarescu, Luciano Lavagno |
Array-Specific Dataflow Caches for High-Level Synthesis of Memory-Intensive Algorithms on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 118858-118877, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Jobin Jose, B. Shameedha Begum, Ramasubrmanian N. |
Effectiveness Evaluation of Replacement Policies for On-Chip Caches in Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Embed. Real Time Commun. Syst. ![In: Int. J. Embed. Real Time Commun. Syst. 13(1), pp. 1-12, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Sohan Lal, Sharatchandra Varma Bogaraju, Ben H. H. Juurlink |
A Quantitative Study of Locality in GPU Caches for Memory-Divergent Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 50(2), pp. 189-216, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Shuwen Deng, Nikolay Matyunin, Wenjie Xiong 0001, Stefan Katzenbeisser 0001, Jakub Szefer |
Evaluation of Cache Attacks on Arm Processors and Secure Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 71(9), pp. 2248-2262, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Elham Cheshmikhani, Hamed Farbeh, Hossein Asadi 0001 |
3RSeT: Read Disturbance Rate Reduction in STT-MRAM Caches by Selective Tag Comparison. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 71(6), pp. 1305-1319, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Michail Mavropoulos, Georgios Keramidas, Dimitris Nikolos |
Enabling efficient sub-block disabled caches using coarse grain spatial predictions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 90, pp. 104479, April 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Sangeet Saha, Shounak Chakraborty 0001, Xiaojun Zhai, Shoaib Ehsan, Klaus D. McDonald-Maier |
ACCURATE: Accuracy Maximization for Real-Time Multicore Systems With Energy-Efficient Way-Sharing Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12), pp. 5246-5260, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Sheel Sindhu Manohar, Sparsh Mittal, Hemangee K. Kapoor |
CORIDOR: Using COherence and TempoRal LocalIty to Mitigate Read Disurbance ErrOR in STT-RAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 21(1), pp. 2:1-2:24, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Kai Wan, Daniela Tuninetti, Mingyue Ji, Pablo Piantanida |
Combination Networks With End-User-Caches: Novel Achievable and Converse Bounds Under Uncoded Cache Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 68(2), pp. 806-827, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Elham Cheshmikhani, Hamed Farbeh, Hossein Asadi 0001 |
A System-Level Framework for Analytical and Empirical Reliability Exploration of STT-MRAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.02855, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Charul Rajput, B. Sundar Rajan |
On Cache-Aided Multi-User Private Information Retrieval with Small Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2212.12888, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Ali Gholami, Kai Wan, Hua Sun 0001, Mingyue Ji, Giuseppe Caire |
Coded Caching with Private Demands and Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.11539, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Julian Bellavita, Alex Sim, Kesheng Wu, Inder Monga, Chin Guok, Frank Würthwein, Diego Davila |
Studying Scientific Data Lifecycle in On-demand Distributed Storage Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2205.05598, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Guilherme B. Souza, Roberto Gonçalves Pacheco, Rodrigo S. Couto 0001 |
Improving Image-recognition Edge Caches with a Generative Adversarial Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2202.05929, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Jianping Zeng 0001, Jongouk Choi, Xinwei Fu, Ajay Paddayuru Shreepathi, Dongyoon Lee, Changwoo Min, Changhee Jung |
Enabling Volatile Caches for Energy Harvesting Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2202.09439, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Alex Sim, Ezra Kissel, Chin Guok |
Deploying in-network caches in support of distributed scientific data sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2203.06843, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Unterluggauer, Austin Harris 0001, Scott Constable, Fangfei Liu, Carlos V. Rozas |
Chameleon Cache: Approximating Fully Associative Caches with Random Replacement to Prevent Contention-Based Cache Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.14673, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy |
Energy-efficient Non Uniform Last Level Caches for Chip-multiprocessors Based on Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.00774, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Elham Cheshmikhani, Hamed Farbeh, Seyed Ghassem Miremadi, Hossein Asadi 0001 |
TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.04373, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Sylvain Iloga |
Comparaison d'ensembles d'arbres à l'aide de descripteurs vectoriels basés sur les modèles de Markov cachés. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARIMA J. ![In: ARIMA J. 36, pp. 1, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Dhruv Gajaria, Tosiron Adegbija |
Evaluating the performance and energy of STT-RAM caches for real-world wearable workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 136, pp. 231-240, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Jordi Ribes-González, Oriol Farràs, Carles Hernández 0001, Vatistas Kostalabros, Miquel Moretó |
A Security Model for Randomization-based Protected Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Trans. Cryptogr. Hardw. Embed. Syst. ![In: IACR Trans. Cryptogr. Hardw. Embed. Syst. 2022(3), pp. 1-25, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Jordi Ribes-González, Oriol Farràs, Carles Hernández 0001, Vatistas Kostalabros, Miquel Moretó |
A Security Model for Randomization-based Protected Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2022, pp. 440, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Arghya Bhattacharjee, Ritam Bhaumik, Mridul Nandi |
Offset-Based BBB-Secure Tweakable Block-ciphers with Updatable Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2022, pp. 1776, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Jean-Michel Ilié, Ahmed-Chawki Chaouche, François Pêcheux |
A Reinforcement Learning Integrating Distributed Caches for Contextual Road Navigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Ambient Comput. Intell. ![In: Int. J. Ambient Comput. Intell. 13(1), pp. 1-19, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Elizabath Peter, B. Sundar Rajan |
Multi-Antenna Coded Caching From a Placement Delivery Array for Shared Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 70(6), pp. 3627-3640, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Tao Huang, Yadong Song, Jie Guo 0001, Chengzhi Tao, Zijing Zong, Xihao Fu, Hongshan Li, Yanwen Guo 0001 |
Real-time Deep Radiance Reconstruction from Imperfect Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Graph. Forum ![In: Comput. Graph. Forum 41(7), pp. 267-278, October 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Fatemah Alharbi, Yuchen Zhou, Feng Qian 0001, Zhiyun Qian, Nael B. Abu-Ghazaleh |
DNS Poisoning of Operating System Caches: Attacks and Mitigations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 19(4), pp. 2851-2863, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Syed Aftab Rashid, Geoffrey Nelissen, Eduardo Tovar |
Tightening the CRPD bound for multilevel non-inclusive caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 122, pp. 102340, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Mingi Yoo, Jaeyong Song, Hyeyoon Lee, Jounghoo Lee, Namhyung Kim, Youngsok Kim, Jinho Lee |
Slice-and-Forge: Making Better Use of Caches for Graph Convolutional Network Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, PACT 2022, Chicago, Illinois, October 8-12, 2022, pp. 40-53, 2022, ACM, 978-1-4503-9868-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Xiuwu Gao, Liangming Huang, Jun Jiang, Fengbin Qi |
CSPM: A Coordinated Software Prefetching Mechanism For Multi-Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCS ![In: 7th International Conference on Computer and Communication Systems, ICCCS 2022, Wuhan, China, April 22-25, 2022, pp. 86-91, 2022, IEEE, 978-1-6654-5060-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Kyle Kuan, Tosiron Adegbija |
A Study of STTRAM-based Page Walker Caches for Energy-Efficient Address Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2022, Nicosia, Cyprus, July 4-6, 2022, pp. 74-79, 2022, IEEE, 978-1-6654-6605-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Yogesh Kumar, S. Sivakumar, John Jose |
ENDURA : Enhancing Durability of Multi Level Cell STT-RAM based Non Volatile Memory Last Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, VLSI-SoC 2022, Patras, Greece, October 3-5, 2022, pp. 1-6, 2022, IEEE, 978-1-6654-9005-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Xin Wang, Jagadish B. Kotra, Xun Jian 0002 |
Eager Memory Cryptography in Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 55th IEEE/ACM International Symposium on Microarchitecture, MICRO 2022, Chicago, IL, USA, October 1-5, 2022, pp. 693-709, 2022, IEEE, 978-1-6654-6272-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Chen Luo 0003, Vihan Lakshman, Anshumali Shrivastava, Tianyu Cao 0001, Sreyashi Nag, Rahul Goutam, Hanqing Lu, Yiwei Song, Bing Yin |
ROSE: Robust Caches for Amazon Product Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW (Companion Volume) ![In: Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022, pp. 89-93, 2022, ACM, 978-1-4503-9130-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Guilherme B. Souza, Roberto Gonçalves Pacheco, Rodrigo S. Couto 0001 |
Improving Image-recognition Edge Caches with a Generative Adversarial Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: IEEE International Conference on Communications, ICC 2022, Seoul, Korea, May 16-20, 2022, pp. 1918-1923, 2022, IEEE, 978-1-5386-8347-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Jonas Krautter, Mahta Mayahinia, Dennis R. E. Gnad, Mehdi B. Tahoori |
Data Leakage through Self-Terminated Write Schemes in Memristive Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, Taipei, Taiwan, January 17-20, 2022, pp. 666-671, 2022, IEEE, 978-1-6654-2135-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Dangl, Stewart Sentanoe, Hans P. Reiser |
VMIFresh: Efficient and Fresh Caches for Virtual Machine Introspection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: ARES 2022: The 17th International Conference on Availability, Reliability and Security, Vienna,Austria, August 23 - 26, 2022, pp. 1:1-1:9, 2022, ACM, 978-1-4503-9670-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Ali Gholami, Kai Wan, Hua Sun 0001, Mingyue Ji, Giuseppe Caire |
Coded Caching With Private Demands and Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIT ![In: IEEE International Symposium on Information Theory, ISIT 2022, Espoo, Finland, June 26 - July 1, 2022, pp. 1396-1401, 2022, IEEE, 978-1-6654-2159-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Apurve K. Pandey, Monolina Dutta, Anoop Thomas |
Decentralized Coded Caching for Shared Caches using Erasure Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITW ![In: IEEE Information Theory Workshop, ITW 2022, Mumbai, India, November 1-9, 2022, pp. 172-177, 2022, IEEE, 978-1-6654-8341-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Dolev Adas, Gil Einziger, Roy Friedman |
Limited Associativity Makes Concurrent Software Caches a Breeze. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCN ![In: ICDCN '22: 23rd International Conference on Distributed Computing and Networking, Delhi, AA, India, January 4 - 7, 2022, pp. 87-96, 2022, ACM, 978-1-4503-9560-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Bin Gao, Hao-Wei Tee, Alireza Sanaee, Soh Boon Jun, Djordje Jevdjic |
OS-level Implications of Using DRAM Caches in Memory Disaggregation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: International IEEE Symposium on Performance Analysis of Systems and Software, ISPASS 2022, Singapore, May 22-24, 2022, pp. 153-155, 2022, IEEE, 978-1-6654-5954-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Zhuodong Guan, Xiaoxia Wang, Jinbei Zhang |
Coded Caching in Combination Networks with Heterogeneous Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: IEEE Wireless Communications and Networking Conference, WCNC 2022, Austin, TX, USA, April 10-13, 2022, pp. 286-291, 2022, IEEE, 978-1-6654-4266-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Tzu-Wei Yang, Seth Pollen, Mustafa Uysal, Arif Merchant, Homer Wolfmeister |
CacheSack: Admission Optimization for Google Datacenter Flash Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference ![In: 2022 USENIX Annual Technical Conference, USENIX ATC 2022, Carlsbad, CA, USA, July 11-13, 2022, pp. 1021-1036, 2022, USENIX Association, 978-1-939133-29-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
13 | Guojun Xiong, Shufan Wang, Gang Yan, Jian Li 0008 |
Reinforcement Learning for Dynamic Dimensioning of Cloud Caches: A Restless Bandit Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: IEEE INFOCOM 2022 - IEEE Conference on Computer Communications, London, United Kingdom, May 2-5, 2022, pp. 2108-2117, 2022, IEEE, 978-1-6654-5822-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Guopeng Li, Chi Zhang 0043, Hongqiu Ni, Haisheng Tan |
Online File Caching on Multiple Caches in Latency-Sensitive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSoNet ![In: Computational Data and Social Networks - 11th International Conference, CSoNet 2022, Virtual Event, December 5-7, 2022, Proceedings, pp. 292-304, 2022, Springer, 978-3-031-26302-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Laith M. AlBarakat, Paul V. Gratz, Daniel A. Jiménez |
SLAP-CC: Set-Level Adaptive Prefetching for Compressed Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: IEEE 40th International Conference on Computer Design, ICCD 2022, Olympic Valley, CA, USA, October 23-26, 2022, pp. 50-58, 2022, IEEE, 978-1-6654-6186-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Sihao Shen, Zhenzhen Li, Wei Song 0002 |
Methods of Extracting Parameters of the Processor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSEC ![In: Advances in Information and Computer Security - 17th International Workshop on Security, IWSEC 2022, Tokyo, Japan, August 31 - September 2, 2022, Proceedings, pp. 47-65, 2022, Springer, 978-3-031-15254-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Arghya Bhattacharjee, Ritam Bhaumik, Mridul Nandi |
Offset-Based BBB-Secure Tweakable Block-ciphers with Updatable Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INDOCRYPT ![In: Progress in Cryptology - INDOCRYPT 2022 - 23rd International Conference on Cryptology in India, Kolkata, India, December 11-14, 2022, Proceedings, pp. 171-194, 2022, Springer, 978-3-031-22911-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Pavlos Aimoniotis, Amund Bergland Kvalsvik, Magnus Själander, Stefanos Kaxiras |
Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEED ![In: 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022, pp. 49-60, 2022, IEEE, 978-1-6654-8526-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Unterluggauer, Austin Harris 0001, Scott Constable, Fangfei Liu, Carlos V. Rozas |
Chameleon Cache: Approximating Fully Associative Caches with Random Replacement to Prevent Contention-Based Cache Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEED ![In: 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022, pp. 13-24, 2022, IEEE, 978-1-6654-8526-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | João Vieira, Nuno Roma, Gabriel Falcão 0001, Pedro Tomás |
gem5-ndp: Near-Data Processing Architecture Simulation From Low Level Caches to DRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), Bordeaux, France, November 2-5, 2022, pp. 41-50, 2022, IEEE, 978-1-6654-5155-0. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Lucia Pons, Julio Sahuquillo, Salvador Petit, Julio Pons |
Cache-Poll: Containing Pollution in Non-Inclusive Caches Through Cache Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 51st International Conference on Parallel Processing, ICPP 2022, Bordeaux, France, 29 August 2022 - 1 September 2022, pp. 33:1-33:11, 2022, ACM, 978-1-4503-9733-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Julian Bellavita, Alex Sim, Kesheng Wu, Inder Monga, Chin Guok, Frank Würthwein, Diego Davila |
Studying Scientific Data Lifecycle in On-demand Distributed Storage Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNTA@HPDC ![In: SNTA@HPDC 2022, Fifth International Workshop on Systems and Network Telemetry and Analytics, Minneapolis, MN, USA, 30 June 2022, pp. 43-50, 2022, ACM, 978-1-4503-9315-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Paul Caheny, Lluc Alvarez, Marc Casas, Miquel Moretó |
TD-NUCA: Runtime Driven Management of NUCA Caches in Task Dataflow Programming Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: SC22: International Conference for High Performance Computing, Networking, Storage and Analysis, Dallas, TX, USA, November 13-18, 2022, pp. 80:1-80:15, 2022, IEEE, 978-1-6654-5444-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Carlos Escuin, Asif Ali Khan, Pablo Ibáñez, Teresa Monreal, Víctor Viñals, Jerónimo Castrillón |
HyCSim: A rapid design space exploration tool for emerging hybrid last-level caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DroneSE/RAPIDO@HiPEAC ![In: DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Budapest Hungary, January 17 - 19, 2022, pp. 53-58, 2022, ACM, 978-1-4503-9566-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Ali Sedaghati, Milad Hakimi, Reza Hojabr, Arrvindh Shriraman |
X-cache: a modular architecture for domain-specific caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022, pp. 396-409, 2022, ACM, 978-1-4503-8610-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Xin Xin 0008, Wanyi Zhu, Li Zhao |
Architecting DDR5 DRAM caches for non-volatile memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022, pp. 1057-1062, 2022, ACM, 978-1-4503-9142-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
13 | Amin Sarihi, Ahmad Patooghy, Mahdi Amininasab, Mohammad Shokrolah Shirazi, Abdel-Hameed A. Badawy |
Joint security and performance improvement in multilevel shared caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Inf. Secur. ![In: IET Inf. Secur. 15(4), pp. 297-308, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Mingi Yoo, Jaeyong Song, Jounghoo Lee, Namhyung Kim, Youngsok Kim, Jinho Lee |
Making a Better Use of Caches for GCN Accelerators with Feature Slicing and Automatic Tile Morphing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 20(2), pp. 102-105, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Arindam Sarkar, Newton Singh, Varun Venkitaraman, Virendra Singh |
DAM: Deadblock Aware Migration Techniques for STT-RAM-Based Hybrid Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 20(1), pp. 62-65, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Pratik Kumar, Chavhan Sujeet Yashavant, Biswabandan Panda |
DAMARU: A Denial-of-Service Attack on Randomized Last-Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 20(2), pp. 138-141, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Po-An Tsai, Andrés Sánchez, Christopher W. Fletcher, Daniel Sánchez 0003 |
Leaking Secrets Through Compressed Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(3), pp. 27-33, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Michele Garetto, Emilio Leonardi, Giovanni Neglia |
Content placement in networks of similarity caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Networks ![In: Comput. Networks 201, pp. 108570, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Shahram Ghandeharizadeh, Haoyu Huang, Hieu Nguyen |
Boosting OLTP Performance Using Write-Back Client-Side Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Large Scale Data Knowl. Centered Syst. ![In: Transactions on Large-Scale Data- and Knowledge-Centered Systems XLVIII - Special Issue In Memory of Univ. Prof. Dr. Roland Wagner, pp. 16-44, 2021, Springer, 978-3-662-63518-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Zareen Sadiq, Shehzad Hasan |
MemCAM: A Hybrid Memristor-CMOS CAM Cell for On-Chip Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 9, pp. 21296-21305, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Saeid Montazeri Shahtouri, Mostafa Rezazad, Richard T. B. Ma |
Frozen Cache: Mitigating Filter Effect and Redundancy for Network of Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 9, pp. 139725-139738, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Dehao Wu, Wei Koong Chai |
Information Resilience in a Network of Caches With Perturbations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 9, pp. 136135-136150, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Ming Ling, Qingde Lin, Ke Tan 0004, Tianxiang Shao, Shan Shen, Jun Yang 0006 |
A Design of Timing Speculation SRAM-Based L1 Caches With PVT Autotracking Under Near-Threshold Voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 29(12), pp. 2197-2209, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Javier Díaz, Pablo Ibáñez, Teresa Monreal, Víctor Viñals, José M. Llabería |
Near-optimal replacement policies for shared caches in multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 77(10), pp. 11756-11785, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Sahan Bandara, Michel A. Kinsy |
Adaptive caches as a defense mechanism against cache side-channel attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Cryptogr. Eng. ![In: J. Cryptogr. Eng. 11(3), pp. 239-255, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Saba Ahmadian, Farhad Taheri, Hossein Asadi 0001 |
Evaluating Reliability of SSD-Based I/O Caches in Enterprise Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Emerg. Top. Comput. ![In: IEEE Trans. Emerg. Top. Comput. 9(4), pp. 1914-1929, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Young-Ho Gong, Joonho Kong, Sung Woo Chung |
Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Emerg. Top. Comput. ![In: IEEE Trans. Emerg. Top. Comput. 9(2), pp. 854-865, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Giuliano Casale, Nicolas Gast |
Performance Analysis Methods for List-Based Caches With Non-Uniform Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 29(2), pp. 651-664, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Haisheng Tan, Shaofeng H.-C. Jiang, Zhenhua Han, Mingxia Li |
Asymptotically Optimal Online Caching on Multiple Caches With Relaying and Bypassing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 29(4), pp. 1841-1852, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Fazal Hameed, Asif Ali Khan, Jerónimo Castrillón |
Improving the Performance of Block-based DRAM Caches Via Tag-Data Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 70(11), pp. 1914-1927, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Wenjie Xiong 0001, Stefan Katzenbeisser 0001, Jakub Szefer |
Leaking Information Through Cache LRU States in Commercial Processors and Secure Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 70(4), pp. 511-523, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Akshay Srivatsa, Nael Fasfous, Nguyen Anh Vu Doan, Sebastian Nagel 0004, Thomas Wild, Andreas Herkersdorf |
Exploring a Hybrid Voting-based Eviction Policy for Caches and Sparse Directories on Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 87, pp. 104384, November 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Abdelrahman M. Ibrahim, Ahmed A. Zewail, Aylin Yener |
Benefits of Edge Caching With Coded Placement for Asymmetric Networks and Shared Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Inf. Theory ![In: IEEE J. Sel. Areas Inf. Theory 2(4), pp. 1240-1252, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Sukarn Agarwal, Hemangee K. Kapoor |
Improving the Performance of Hybrid Caches Using Partitioned Victim Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 20(1), pp. 5:1-5:27, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Chao Fu, Yuchao Zhou, Jun Han 0003 |
A hardware-efficient dual-source data replication and local broadcast mechanism in distributed shared caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 118, pp. 105286, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
13 | Michele Garetto, Emilio Leonardi, Giovanni Neglia |
Content Placement in Networks of Similarity Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2102.04974, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
13 | Madhav P. Desai, Aniket Anand Deshmukh |
An efficient reverse-lookup table based strategy for solving the synonym and cache coherence problem in virtually indexed, virtually tagged caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2108.00444, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
13 | Atanu Barai, Gopinath Chennupati, Nandakishore Santhi, Abdel-Hameed A. Badawy, Yehia Arafa, Stephan J. Eidenbenz |
PPT-SASMM: Scalable Analytical Shared Memory Model: Predicting the Performance of Multicore Caches from a Single-Threaded Execution Trace. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2103.10635, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
Displaying result #601 - #700 of 3380 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|