|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 14306 occurrences of 4820 keywords
|
|
|
Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
21 | Vinu Vijay Kumar, John C. Lach |
Highly flexible multi-mode system synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 27-32, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multi-mode synthesis, reconfigurability, adaptable systems |
21 | Hyunok Oh, Nikil D. Dutt, Soonhoi Ha |
Shift buffering technique for automatic code synthesis from synchronous dataflow graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 51-56, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
automatic code synthesis, modulo buffering, shift buffering, buffer management, synchronous dataflow |
21 | Gang Quan, James P. Davis, Siddhaveerasharan Devarkal, Duncan A. Buell |
High-level synthesis for large bit-width multipliers on FPGAs: a case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 213-218, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FPGA devices, large-scale integer multipliers, high level synthesis, reconfigurable computing, design exploration |
21 | Francis Alexandre, Khaled Bsaïes, Moussa Demba |
Predicate Synthesis from Inductive Proof Attempt of Faulty Conjectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LOPSTR ![In: Logic Based Program Synthesis and Transformation, 13th International Symposium LOPSTR 2003, Uppsala, Sweden, August 25-27, 2003, Revised Selected Papers, pp. 20-33, 2003, Springer, 3-540-22174-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Corrective predicate, implicative formulas, folding/unfolding rules, theorem proving, program synthesis, abduction |
21 | Alexandru Nicolau, Nikil D. Dutt, Rajesh Gupta 0001, Nick Savoiu, Mehrdad Reshadi, Sumit Gupta |
Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 261-266, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
common sub-expression elimination, dynamic CSE, parallelizing transformations, high-level synthesis |
21 | Amol Bakshi, Jingzhao Ou, Viktor K. Prasanna |
Towards automatic synthesis of a class of application-specific sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 50-58, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
sensor networks, energy efficiency, design environments, automatic synthesis |
21 | Krzysztof Kuchcinski |
Embedded System Synthesis by Timing Constraints Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 10th International Symposium on System Synthesis, ISSS '97, Antwerp, Belgium, September 17-19, 1997., pp. 50-57, 1997, ACM / IEEE Computer Society, 0-8186-7949-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Embedded Systems, Synthesis, Constraint Logic Programming |
21 | Chunho Lee, Miodrag Potkonjak, Wayne H. Wolf |
System-Level Synthesis of Application Specific Systems using A* Search and Generalized Force-Directed Heuristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 2-7, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Hard Real-Time, Search Techniques, System-Level Synthesis |
21 | Ti-Yen Yen, Wayne H. Wolf |
Sensitivity-driven co-synthesis of distributed embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 4-9, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
application software architecture, communicating periodic processes, gradient-search algorithm, local sensitivity, priority prediction method, process allocation, real-time distributed embedded systems, sensitivity-driven co-synthesis, software engineering, real-time systems, distributed processing, logic design, ASICs, ASIC, processor scheduling, performance estimates, process scheduling, arbitrary topology, communication links, CPU time, heterogeneous distributed systems |
21 | Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown |
Delay driven AIG restructuring using slack budget management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 163-166, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
aig, budget management, logic synthesis, network flow |
21 | Greg Stitt, Jason R. Villarreal |
Recursion flattening. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 131-134, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
optimization, fpga, compilers, synthesis, recursion |
21 | Hao-Da Huang, Xin Tong 0001, Wen-Cheng Wang |
Accelerated Parallel Texture Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 22(5), pp. 761-769, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
parallel, GPU, texture synthesis, flow visualization, energy minimization |
21 | Zdenek Krnoul, Jakub Kanis, Milos Zelezný, Ludek Müller |
Czech Text-to-Sign Speech Synthesizer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MLMI ![In: Machine Learning for Multimodal Interaction , 4th International Workshop, MLMI 2007, Brno, Czech Republic, June 28-30, 2007, Revised Selected Papers, pp. 180-191, 2007, Springer, 978-3-540-78154-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Sign speech, machine translation, automatic synthesis |
21 | Yanxi Liu 0001, Yanghai Tsin, Wen-Chieh Lin |
The Promise and Perils of Near-Regular Texture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 62(1-2), pp. 145-159, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
near-regular texture, texture synthesis, lattice, texture analysis, symmetry groups |
21 | Pao-Ann Hsiung, Shang-Wei Lin 0001, Chih-Hao Tseng, Trong-Yen Lee, Jih-Ming Fu, Win-Bin See |
VERTAF: An Application Framework for the Design and Verification of Embedded Real-Time Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 30(10), pp. 656-674, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded real-time software, scheduling, formal verification, code generation, software components, Application framework, UML modeling, formal synthesis |
21 | Pao-Ann Hsiung, Shang-Wei Lin 0001 |
Formal Design and Verification of Real-Time Embedded Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APLAS ![In: Programming Languages and Systems: Second Asian Symposium, APLAS 2004, Taipei, Taiwan, November 4-6, 2004. Proceedings, pp. 382-397, 2004, Springer, 3-540-23724-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scheduling, formal verification, code generation, software components, application framework, UML modeling, real-time embedded software, formal synthesis |
21 | Anuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri |
Fast and accurate parasitic capacitance models for layout-aware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 145-150, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
layout aware, parasitic estimation, analog synthesis |
21 | Yunsi Fei, Niraj K. Jha |
Functional Partitioning for Low Power Distributed Systems of Systems-on-a-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 274-281, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
SOC synthesis, genetic algorithm, functional partitioning |
21 | Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel |
Enhancing high-level control-flow for improved testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 322-328, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
synthesis for testability, at-speed testing, testability measures, test point insertion, high-level description |
21 | James P. O'Connor, Catharine Mansour, Jerri Turner-Harris, Grady H. Campbell Jr. |
Reuse in Command-and-Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Softw. ![In: IEEE Softw. 11(5), pp. 70-79, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Command-and-Control Systems Division, Rockwell International, SPC Synthesis methodology, domain-specific reuse, high-level requirements, engineering decisions, formal specification, specification, software reusability, software requirements, command and control systems, customer needs |
21 | Srinivas Krovvidy, William G. Wee, R. Scott Summers, John J. Coleman |
An AI approach for wastewater treatment systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 1(3), pp. 247-261, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
Wastewater treatment, machine learning, synthesis, heuristic search, Hopfield network |
21 | Klaus P. Jantke, Ulf Goldhammer |
Inductive Synthesis of Rewrite Rules as Program Synthesis (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
LOPSTR ![In: Logic Program Synthesis and Transformation, Proceedings of LOPSTR 91, International Workshop on Logic Program Synthesis and Transformation, University of Manchester, UK, 4-5 July 1991, pp. 65-68, 1991, Springer, 3-540-19742-7. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
21 | David G. Haigh, Paul M. Radmore |
Systematic synthesis method for analogue circuits. Part I. Notation and synthesis toolbox. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 701-704, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | David Haigh, Fang Qun Tan, Christos Papavassiliou |
Systematic synthesis method for analogue circuits. Part III. All-transistor circuit synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 709-712, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Wander O. Cesário, Zoltan Sugar, Imed Moussa, Ahmed Amine Jerraya |
Efficient Integration of Behavioral Synthesis with Existing Design Flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 13th International Symposium on System Synthesis, ISSS'00, Madrid, Spain, September 20-22, 2000., pp. 85-90, 2000, ACM / IEEE Computer Society, 0-7695-0765-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Jeffrey Van Baalen, Steve Roach |
Using Decision Procedures to Accelerate Domain-Specific Deductive Synthesis Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LOPSTR ![In: Logic Programming Synthesis and Transformation, 8th International Workshop, LOPSTR'98, Manchester, UK, June 15-19, 1998, Proceedings, pp. 61-70, 1998, Springer, 3-540-65765-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Jaime Jimenez, José Luis Martín 0001, Aitzol Zuloaga, Unai Bidarte, Jagoba Arias |
Comparison of two designs for the multifunction vehicle bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(5), pp. 797-805, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Seapahn Meguerdichian, Miodrag Potkonjak |
Watermarking while preserving the critical path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 108-111, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Miodrag Potkonjak, Wayne H. Wolf |
A methodology and algorithms for the design of hard real-time multitasking ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 4(4), pp. 430-459, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Shiu-Kai Chin, Edward P. Stabler, Kevin J. Greene |
Using higher order logic and functional languages to synthesize correct hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCL ![In: 1988 Internation Conference on Computer Languages, October 9-13, 1988, Miami, Florida, USA, pp. 396-403, 1988, IEEE Computer Society, 0-8186-0874-9. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
19 | William B. Toms, David A. Edwards |
A Complete Synthesis Method for Block-Level Relaxation in Self-Timed Datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSD ![In: 10th International Conference on Application of Concurrency to System Design, ACSD 2010, Braga, Portugal, 21-25 June 2010, pp. 24-34, 2010, IEEE Computer Society, 978-0-7695-4066-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Asynchronous Combinational Logic Synthesis, Datapath Synthesis |
19 | Kemal Sahin, Korhan Gürsoy, Amy Ciric |
MINLP: Heat Exchanger Network Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Optimization ![In: Encyclopedia of Optimization, Second Edition, pp. 2163-2170, 2009, Springer, 978-0-387-74758-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
HEN synthesis, Network synthesis, MINLP |
19 | Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 |
Synthesis of application-specific highly efficient multi-mode cores for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 4(1), pp. 168-188, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
embedded systems, high level synthesis, synthesis, Digital signal processing (DSP), application specific integrated circuits (ASIC), reconfigurable system |
19 | Robert Schreiber, Shail Aditya, Scott A. Mahlke, Vinod Kathail, B. Ramakrishna Rau, Darren C. Cronquist, Mukund Sivaraman |
PICO-NPA: High-Level Synthesis of Nonprogrammable Hardware Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 31(2), pp. 127-142, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
high-level hardware synthesis, automatic parallelization, datapath synthesis |
19 | Yan Li, Tianshu Wang, Heung-Yeung Shum |
Motion texture: a two-level statistical model for character motion synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 21(3), pp. 465-472, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
motion texture, texture synthesis, motion synthesis, motion editing, linear dynamic systems |
19 | Albrecht P. Stroele |
Synthesis for Arithmetic Built-In Self-Tes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 165-170, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator |
19 | George Economakos, George K. Papakonstantinou, Panayotis Tsanakas |
Incorporating multi-pass attribute grammars for the high-level synthesis of ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1998 ACM symposium on Applied Computing, SAC'98, Atlanta, GA, USA, February 27 - March 1, 1998, pp. 45-49, 1998, ACM, 0-89791-969-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
hardware synthesis environment, scheduling, high-level synthesis, attribute grammars |
19 | Ross B. Ortega, Gaetano Borriello |
Communication synthesis for distributed embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998, pp. 437-444, 1998, ACM / IEEE Computer Society, 1-58113-008-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
distributed heterogeneous embedded systems, hardware/software co-synthesis, interprocessor communication, communication synthesis, multihop communication, bus protocols |
19 | R. Neil Braithwaite, Bir Bhanu |
Error bound for multi-stage synthesis of narrow bandwidth Gabor filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 33-36, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
narrow bandwidth Gabor filters, multistage synthesis, weighted sum, spatially offset separable kernels, frequency offset, spatial subsampling rate, multistage filtering, general basis filter set design, error analysis, filtering theory, error bound, network synthesis |
19 | Kevin Lano, Stephen J. Goldsack |
Discrete event process controller synthesis using VDM++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 1st IEEE International Conference on Engineering of Complex Computer Systems (ICECCS '95), November 6-10, 1995, Fort Lauderdale, Florida, USA, pp. 129-136, 1995, IEEE Computer Society, 0-8186-7123-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
ignition, control system synthesis, heat systems, discrete event process controller synthesis, VDM/sup ++/, controller specification, gas burner system, systematic method, declarative requirement statements, abstract VDM/sup ++/ specifications, concrete VDM/sup ++/ specifications, formalised requirements, refinement process, real-time systems, formal specification, temporal logic, timing, specification languages, timing analysis, object-oriented languages, process control, parallel languages, discrete event systems, programmable controllers, controller design, Vienna development method, control system CAD, Ada95, real-time temporal logic, combustion |
19 | Samit Chaudhuri, Stephen A. Blythe, Robert A. Walker 0001 |
An exact methodology for scheduling in a 3D design space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 78-83, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
2D design space, 3D design space, 3D scheduling problem, Voyager design space exploration system, candidate clock lengths, clock length, globally optimal solution, schedule length, three dimensional scheduling, three-dimensional design space, two dimensional design space, scheduling, optimisation, high level synthesis, search problems, clocks, tight bounds, network synthesis, search space pruning |
19 | Bernhard Eschermann |
Enhancing on-line testability during synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 4(1), pp. 105-116, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
BIST, synthesis for testability, control flow checking, controller synthesis |
19 | Alan W. Biermann, Richard I. Baum, Frederick E. Petry |
Speeding up the Synthesis of Programs from Traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 24(2), pp. 122-136, 1975. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
Computation trace, finite-state machine synthesis, incompletely specified machines, learning, inference, program synthesis, tree searching, program trace |
19 | Gwenaël Delaval, Hervé Marchand, Éric Rutten |
Contracts for modular discrete controller synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems, LCTES 2010, Stockholm, Sweden, April 13-15, 2010, pp. 57-66, 2010, ACM, 978-1-60558-953-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
adaptive and reconfigurable systems, discrete controller synthesis, components, modularity, contracts, reactive systems, synchronous programming |
19 | Seungwhun Paik, Sangmin Kim, Youngsoo Shin |
Wakeup synthesis and its buffered tree construction for power gating circuit designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 413-418, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wakeup synthesis, leakage, power gating |
19 | Susmit Jha, Sumit Gulwani, Sanjit A. Seshia, Ashish Tiwari 0001 |
Oracle-guided component-based program synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (1) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 215-224, 2010, ACM, 978-1-60558-719-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
oracle-based learning, SAT, program synthesis, SMT |
19 | Paul Gastin, Nathalie Sznajder, Marc Zeitoun |
Distributed synthesis for well-connected architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 34(3), pp. 215-237, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Synthesis problem, Synchronous architectures, Distributed systems |
19 | Keisuke Kawaguchi, Yui Endo, Satoshi Kanai |
Database-Driven Grasp Synthesis and Ergonomic Assessment for Handheld Product Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (11) ![In: Digital Human Modeling, Second International Conference, ICDHM 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 642-652, 2009, Springer, 978-3-642-02808-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
digital hand, joint range of motion, grasp synthesis |
19 | Ho-Joon Lee, Jong-Chan Park |
Interpretation of User Evaluation for Emotional Speech Synthesis System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (1) ![In: Human-Computer Interaction. New Trends, 13th International Conference, HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part I, pp. 295-303, 2009, Springer, 978-3-642-02573-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Emotional Speech Synthesis, Emotional Prosody Modification, User Evaluation, Affective Interaction |
19 | Süleyman Sirri Demirsoy, Martin Langhammer |
Cholesky decomposition using fused datapath synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 241-244, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cholesky, fused datapath synthesis, fpga, floating-point |
19 | Jason Cong, Karthik Gururaj, Guoling Han |
Synthesis of reconfigurable high-performance multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 201-208, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coprocessor synthesis, reconfigurable high-performance computing, design space exploration |
19 | Ting Deng, Jinpeng Huai, Xianxian Li, Zongxia Du, Huipeng Guo |
Automated synthesis of composite services with correctness guarantee. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 18th International Conference on World Wide Web, WWW 2009, Madrid, Spain, April 20-24, 2009, pp. 1127-1128, 2009, ACM, 978-1-60558-487-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
composition synthesis, correctness constraints, learning algorithm |
19 | Srinivasan Murali, Ciprian Seiculescu, Luca Benini, Giovanni De Micheli |
Synthesis of networks on chips for 3D systems on chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 242-247, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
topology synthesis, networks on chip, 3D, application-specific |
19 | Prashant Saxena, Vishal Khandelwal, Changge Qiao, Pei-Hsin Ho, J.-C. Lin, Mahesh A. Iyer |
On improving optimization effectiveness in interconnect-driven physical synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 51-58, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
routing, interconnect, physical synthesis, circuit optimization, vlsi |
19 | Jing Tao, Wenju Liu |
An Improvement of HSMM-Based Speech Synthesis by Duration-Dependent State Transition Probabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2009, 6th International Symposium on Neural Networks, ISNN 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part III, pp. 621-629, 2009, Springer, 978-3-642-01512-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Duration-Dependent State Transition Probabilities, Speech Synthesis, Forward-Backward Algorithm |
19 | Antonia Bertolino, Paola Inverardi, Patrizio Pelliccione, Massimo Tivoli |
Automatic synthesis of behavior protocols for composable web-services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 7th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2009, Amsterdam, The Netherlands, August 24-28, 2009, pp. 141-150, 2009, ACM, 978-1-60558-001-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
web-services, testing, automatic synthesis, behavior protocols |
19 | Leonid Ryzhyk, Peter Chubb, Ihor Kuz, Etienne Le Sueur, Gernot Heiser |
Automatic device driver synthesis with termite. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 22nd ACM Symposium on Operating Systems Principles 2009, SOSP 2009, Big Sky, Montana, USA, October 11-14, 2009, pp. 73-86, 2009, ACM, 978-1-60558-752-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
domain-specific languages, device drivers, software synthesis, two-player games |
19 | Hong Thai Nguyen, Ee Ping Ong, Arthur Niswar, Zhiyong Huang 0001, Susanto Rahardja |
Automatic and real-time 3D face synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCAI ![In: Proceedings of the 8th International Conference on Virtual Reality Continuum and its Applications in Industry, VRCAI 2009, Yokohama, Japan, December 14-15, 2009, pp. 103-106, 2009, ACM, 978-1-60558-912-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
3D face synthesis, texture mapping, radial-basis function, feature points detection |
19 | Xiaowei Sun, Baocai Yin, Yunhui Shi |
Low Frequency Domain Aided Texture Synthesis for Intra Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMT ![In: Active Media Technology, 5th International Conference, AMT 2009, Beijing, China, October 22-24, 2009. Proceedings, pp. 273-280, 2009, Springer, 978-3-642-04874-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low frequency domain consistent, texture synthesis, Intra prediction |
19 | Frantisek Capkovic |
DES Control Synthesis and Cooperation of Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCI ![In: Computational Collective Intelligence. Semantic Web, Social Networks and Multiagent Systems, First International Conference, ICCCI 2009, Wroclaw, Poland, October 5-7, 2009. Proceedings, pp. 596-607, 2009, Springer, 978-3-642-04440-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Agents, Petri nets, control, synthesis, cooperation, bipartite graphs, discrete-event systems, supervisor |
19 | Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo |
Handling don't-care conditions in high-level synthesis and application for reducing initialized registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 412-415, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
RTL symbolic simulation, don't-care (DC), synthesis |
19 | Insup Shin, Seungwhun Paik, Youngsoo Shin |
Register allocation for high-level synthesis using dual supply voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 937-942, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low power, high-level synthesis, register allocation, dual supply voltage |
19 | Fatima Chouireb, Mhania Guerti |
Towards a high quality Arabic speech synthesis system based on neural networks and residual excited vocal tract model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Image Video Process. ![In: Signal Image Video Process. 2(1), pp. 73-87, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Phonetic and acoustic segmentation, Residual excitation, Prosodic database, Prosodic-information synthesizer, Neural networks, Natural language processing, Text-to-speech synthesis |
19 | Liu-Yuan Lai, Wen-Liang Hwang, Paruvelli Sreedevi |
Performance evaluation of a novel sampling-based texture synthesis technique using different sized patches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Image Video Process. ![In: Signal Image Video Process. 2(3), pp. 275-286, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Sampling-based, Multipatch, Patchwork, Texture synthesis, Multi-resolution |
19 | Stavroula-Evita Fotinea, Eleni Efthimiou, George Caridakis, Kostas Karpouzis |
A knowledge-based sign synthesis architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Univers. Access Inf. Soc. ![In: Univers. Access Inf. Soc. 6(4), pp. 405-418, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Sign synthesis, Lexicon and grammar coding, Virtual signing, Sign language resources, Linguistic knowledge |
19 | Yueh-Yi Lai, Wen-Kai Tai |
Transition Texture Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 23(2), pp. 280-289, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
texture transition, texture mapping, texture synthesis |
19 | Ali Ebnenasir, Sandeep S. Kulkarni, Anish Arora |
FTSyn: a framework for automatic synthesis of fault-tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 10(5), pp. 455-471, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Fault-tolerance, Formal methods, Distributed programs, Program synthesis, Automatic addition of fault-tolerance |
19 | Xuexiang Xie, Feng Tian 0006, Hock Soon Seah |
Style-learning with feature-based texture synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Entertain. ![In: Comput. Entertain. 6(2), pp. 25:1-25:11, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
artistic style transfer, perceptual similarity, stylized shading, non-photorealistic rendering, texture synthesis |
19 | Weiming Dong, Ning Zhou, Jean-Claude Paul |
Perspective-aware texture analysis and synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 24(7-9), pp. 515-523, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Perspectively featured texture, Scale map, Texture synthesis |
19 | Chengsong Zhu, Yaoting Zhu |
A New Chinese Speech Synthesis Method Apply in Chinese Poetry Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWL ![In: Advances in Web Based Learning - ICWL 2008, 7th International Conference, Jinhua, China, August 20-22, 2008. Proceedings, pp. 356-365, 2008, Springer, 978-3-540-85032-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Chinese poetry, PSOLA, Speech Synthesis, homomorphism |
19 | Zhuo Li 0001, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia |
Fast interconnect synthesis with layer assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 71-77, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
buffer insertion, wire sizing, layer assignment, interconnect synthesis |
19 | Ke Zhang |
Synthesis of a Hybrid Five-Bar Mechanism with Particle Swarm Optimization Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (1) ![In: Advances in Neural Networks - ISNN 2008, 5th International Symposium on Neural Networks, ISNN 2008, Beijing, China, September 24-28, 2008, Proceedings, Part I, pp. 873-882, 2008, Springer, 978-3-540-87731-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
optimization, Synthesis, mechanism, PSO algorithm |
19 | Shiva Nejati, Mehrdad Sabetzadeh, Marsha Chechik, Sebastián Uchitel, Pamela Zave |
Towards compositional synthesis of evolving systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2008, Atlanta, Georgia, USA, November 9-14, 2008, pp. 285-296, 2008, ACM, 978-1-59593-995-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
behavioural design patterns, feature-based development, synthesis, pipelines, I/O automata |
19 | Ke Sun, Yingyun Yang, Long Ye, Qin Zhang 0009 |
Image Restoration Using Piecewise Iterative Curve Fitting and Texture Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 4th International Conference on Intelligent Computing, ICIC 2008, Shanghai, China, September 15-18, 2008, Proceedings, pp. 1056-1063, 2008, Springer, 978-3-540-87440-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Region extraction, Iterative curve fitting, Image restoration, Texture synthesis |
19 | Ivan Zelinka, Roman Senkerik, Zuzana Oplatková |
Evolutionary Synthesis of Complex Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA Workshops ![In: 19th International Workshop on Database and Expert Systems Applications (DEXA 2008), 1-5 September 2008, Turin, Italy, pp. 537-543, 2008, IEEE Computer Society, 978-0-7695-3299-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
evolutionary algorithms, synthesis, dynamical systems, symbolic regression |
19 | Leonardo Bruno de Sá, Antonio Carneiro de Mesquita Filho |
Evolutionary synthesis of low-sensitivity equalizers using adjacency matrix representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2008, Proceedings, Atlanta, GA, USA, July 12-16, 2008, pp. 1283-1290, 2008, ACM, 978-1-60558-130-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
genetic algorithms, synthesis, circuit, digital filters |
19 | Hiroki Satake, Haruna Marumoto, Kazuhisa Seta, Motohide Umano, Mitsuru Ikeda |
Learning Environment for Improving Critical Thinking Skills Based on New Synthesis Theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (3) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 12th International Conference, KES 2008, Zagreb, Croatia, September 3-5, 2008, Proceedings, Part III, pp. 580-587, 2008, Springer, 978-3-540-85566-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
new synthesis, guidance information, critical thinking |
19 | Jakrapong Narkdej, Pizzanu Kanongchaiyos |
Fast and robust parameter estimation method for patch-based texture synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCAI ![In: Proceedings of the 7th International Conference on Virtual Reality Continuum and its Applications in Industry, VRCAI 2008, Singapore, December 8-9, 2008, 2008, ACM, 978-1-60558-335-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
patch-based texture synthesis, image segmentation, texture model |
19 | Silvana Revollar, Mario Francisco, Pastora Vega, Rosalba Lamanna |
Genetic Algorithms for the Synthesis and Integrated Design of Processes Using Advanced Control Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCAI ![In: International Symposium on Distributed Computing and Artificial Intelligence, DCAI 2008, University of Salamanca, Spain, 22th-24th October 2008, pp. 205-214, 2008, Springer, 978-3-540-85862-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Process synthesis, Integrated Design, Genetic Algorithms, Model Predictive Control |
19 | Antti Suni, Martti Vainio |
Deep Syntactic Analysis and Rule Based Accentuation in Text-to-Speech Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TSD ![In: Text, Speech and Dialogue, 11th International Conference, TSD 2008, Brno, Czech Republic, September 8-12, 2008. Proceedings, pp. 535-542, 2008, Springer, 978-3-540-87390-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
prosodic prominence, Finnish, Speech synthesis, syntactic analysis |
19 | Tomasz S. Czajkowski, Stephen Dean Brown |
Functionally linear decomposition and synthesis of logic circuits for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 18-23, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
decomposition, logic synthesis, linearity, Gaussian elimination |
19 | Wei-Qi Yan 0001, Mohan S. Kankanhalli |
Multimedia simplification for optimized MMS synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Multim. Comput. Commun. Appl. ![In: ACM Trans. Multim. Comput. Commun. Appl. 3(1), pp. 5, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
MMS synthesis, Multimedia simplification, experiential sampling, home care monitoring, hypermedia coherence, mobile phone, soccer video |
19 | Eduardo Tavares, Raimundo S. Barreto, Paulo Romero Martins Maciel, Meuse N. Oliveira Jr., Leonardo Amorim, Fernando Rocha, Ricardo Massa Ferreira Lima |
Software synthesis for hard real-time embedded systems with multiple processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 32(2), pp. 1-10, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
petri nets, real-time embedded systems, software synthesis, multiple processors |
19 | Nikunj Raghuvanshi, Ming C. Lin |
Physically Based Sound Synthesis for Large-Scale Virtual Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Computer Graphics and Applications ![In: IEEE Computer Graphics and Applications 27(1), pp. 14-18, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
spring-mass system, virtual environments, sound synthesis, physics engine |
19 | Yu-Hsien Chiu, Chung-Hsien Wu, Hung-Yu Su, Chih-Jen Cheng |
Joint Optimization of Word Alignment and Epenthesis Generation for Chinese to Taiwanese Sign Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 29(1), pp. 28-39, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Taiwanese sign language, sign language synthesis, video concatenation, language translation |
19 | Congcong Li, Guangda Su, Yan Shang, Yingchun Li |
Frontal Face Synthesis Based on Multiple Pose-Variant Images for Face Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICB ![In: Advances in Biometrics, International Conference, ICB 2007, Seoul, Korea, August 27-29, 2007, Proceedings, pp. 948-957, 2007, Springer, 978-3-540-74548-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Face recognition, texture synthesis, pose estimation, face alignment, stereoscopy |
19 | Carsten Gremzow |
Compiled low-level virtual instruction set simulation and profiling for code partitioning and ASIP-synthesis in hardware/software co-design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCSC ![In: Proceedings of the 2007 Summer Computer Simulation Conference, SCSC 2007, San Diego, California, USA, July 16-19, 2007, pp. 741-748, 2007, Simulation Councils, Inc., 1-56555-316-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
hardware/software co-synthesis, instruction set architecture simulation, quantitative dataflow analysis, profiling, coarse-grained parallelism, LLVM |
19 | Kyller Costa Gorgônio, Jordi Cortadella, Fei Xia |
A Compositional Method for the Synthesis of Asynchronous Communication Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICATPN ![In: Petri Nets and Other Models of Concurrency - ICATPN 2007, 28th International Conference on Applications and Theory of Petri Nets and Other Models of Concurrency, ICATPN 2007, Siedlce, Poland, June 25-29, 2007, Proceedings, pp. 144-163, 2007, Springer, 978-3-540-73093-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Asynchronous communication mechanisms, model checking, Petri nets, protocols, synthesis, concurrent systems |
19 | Congcong Li, Guangda Su, Yan Shang, Yingchun Li, Yan Xiang |
Face Recognition Based on Pose-Variant Image Synthesis and Multi-level Multi-feature Fusion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMFG ![In: Analysis and Modeling of Faces and Gestures, Third International Workshop, AMFG 2007, Rio de Janeiro, Brazil, October 20, 2007, Proceedings, pp. 261-275, 2007, Springer, 978-3-540-75689-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
face synthesis, pose variance, Face recognition, fusion method, Gabor |
19 | Yijun Liu, Wenyuan Niu, Jifa Gu |
Exploring Computational Scheme of Complex Problem Solving Based on Meta-Synthesis Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 9-17, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
group argumentation, multi-agent system, meta-synthesis |
19 | Huizhang Shen, Jidi Zhao, Huanchen Wang |
A Hidden Pattern Discovery and Meta-synthesis of Preference Adjustment in Group Decision-Making. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 51-58, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hidden pattern, group decision-making, meta-synthesis |
19 | Dimitrios Tsonos, Gerasimos Xydas, Georgios Kouroupetroglou |
A Methodology for Reader's Emotional State Extraction to Augment Expressions in Speech Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI (2) ![In: 19th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2007), October 29-31, 2007, Patras, Greece, Volume 2, pp. 218-225, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
emotional state extractionannotation of documents, expressive speech synthesis, document-to- audio, speech representation of text formatting |
19 | Daniel Große, Xiaobo Chen, Gerhard W. Dueck, Rolf Drechsler |
Exact sat-based toffoli network synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 96-101, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
synthesis, minimization, boolean satisfiability, reversible logic, quantum circuits |
19 | Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang |
Crosstalk minimization in logic synthesis for PLAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(4), pp. 890-915, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
synthesis, Crosstalk, PLA, domino logic |
19 | Alessandro Pinto, Alvise Bonivento, Alberto L. Sangiovanni-Vincentelli, Roberto Passerone, Marco Sgroi |
System level design paradigms: Platform-based design and communication synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(3), pp. 537-563, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Embedded systems, platform-based design, communication synthesis |
19 | André C. Nácul, Tony Givargis |
Synthesis of time-constrained multitasking embedded software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(4), pp. 822-847, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Code serialization, multitasking, software synthesis, real-time embedded software |
19 | Hong Chen, Song Chun Zhu |
A Generative Sketch Model for Human Hair Analysis and Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 28(7), pp. 1025-1040, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hair analysis and synthesis, flow patterns, texture, generative models, nonphotorealistic rendering, Hair modeling, orientation field |
19 | John McAllister, Roger F. Woods, Richard L. Walke, Darren Gerard Reilly |
Multidimensional DSP Core Synthesis for FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 43(2-3), pp. 207-221, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
rapid implementation, field programmable gate array, heterogeneous system, system level design, dataflow graph, Architectural synthesis |
19 | Michal Aharon, Ron Kimmel |
Representation Analysis and Synthesis of Lip Images Using Dimensionality Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 67(3), pp. 297-312, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
automatic lipreading, multidimensional scaling, dimension reduction, speech synthesis, locally linear embedding, image sequence processing |
19 | Jean-Michel Dischler, Florence Zara |
Real-time structured texture synthesis and editing using image-mesh analogies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 22(9-11), pp. 926-935, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Synthesis, Texture, Editing |
Displaying result #601 - #700 of 45278 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|