|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8892 occurrences of 2725 keywords
|
|
|
Results
Found 10806 publication records. Showing 10806 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
27 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design |
27 | B. Suresh, Biswadeep Chaterjee, R. Harinath |
Synthesizable RAM-Alternative to Low Configuration Compiler Memory for Die Area Reduction. |
VLSI Design |
2000 |
DBLP DOI BibTeX RDF |
Synthesizable RAM, Compiler Memory, ASIC library, Die Area Reduction, Testability |
27 | Atsushi Takayama, Yuichiro Shibata, Keisuke Iwai, Hidenori Miyazaki, Koichi Higure, Xiao-ping Ling, Hideharu Amano |
Implementation and Evaluation of the Compiler for WASMII, a Virtual Hardware System. |
ICPP Workshops |
1999 |
DBLP DOI BibTeX RDF |
FPGA, compiler, partitioning, data-flow, reconfigurable system |
27 | David A. Koufaty, Josep Torrellas |
Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. |
ICPP |
1999 |
DBLP DOI BibTeX RDF |
cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding |
27 | Stuart Lewis, Gaius Mulley |
A comparison between novice and experienced compiler users in a learning environment. |
ITiCSE |
1998 |
DBLP DOI BibTeX RDF |
Modula, compiler, learning environment, Modula-2 |
27 | Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen |
Tuning Compiler Optimizations for Simultaneous Multithreading. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size |
27 | Scott A. Mahlke, Balas K. Natarajan |
Compiler Synthesized Dynamic Branch Prediction. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
profile information, pipelined processor, compiler analysis, dynamic branch prediction, branch instruction |
27 | Anthony M. Sloane |
An Evaluation of an Automatically Generated Compiler. |
ACM Trans. Program. Lang. Syst. |
1995 |
DBLP DOI BibTeX RDF |
compiler generation |
27 | Philip J. Morrow, Danny Crookes |
Using Prolog to implement a compiler for a parallel image processing language. |
ICIP |
1995 |
DBLP DOI BibTeX RDF |
parallel image processing language, BNF syntax definition, image processing, compiler, parallel architectures, parallel architectures, PROLOG, programming languages, program compilers, parallel languages, software portability, software portability, abstract model, implementation language |
27 | Michael Tonndorf |
An Efficient Compiler Validation Method for Ada 9X. |
Ada-Europe |
1993 |
DBLP DOI BibTeX RDF |
Glossary of Terms and Abbreviations ACVC Ada Compiler Validation Capability, AVF Ada Validation Facility, AJPO Ada Joint Program Office, AVO Ada Validation Organisation, AMO ACVC Maintenance Organisation |
27 | Robert A. Mueller, Michael R. Duda, Philip H. Sweany, Jack S. Walicki |
Horizon: A Retargetable Compiler for Horizontal Microarchitectures. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
horizontal microarchitectures, vertical migration, complex application code, horizontal microcode, optimized microcode, concurrency, abstraction, timing, program compilers, microprogramming, retargetable compiler, assembly languages, Horizon |
27 | Son T. Vuong, Allen C. Lau, R. Isaac Chan |
Semiautomatic Implementation of Protocols Using an Estelle-C Compiler. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
Estelle-C compiler, class-2 transparent protocol, protocols, protocols, specification, modularity, program compilers, ISO |
27 | Michael I. Schwartzbach |
Design Choices in a Compiler Course or How to Make Undergraduates Love Formal Notation. |
CC |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Gregory B. Prokopski, Clark Verbrugge |
Compiler-Guaranteed Safety in Code-Copying Virtual Machines. |
CC |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Feihui Li, Guilin Chen, Mahmut T. Kandemir, Richard R. Brooks |
A Compiler-Based Approach to Data Security. |
CC |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Stefan Kral, Franz Franchetti, Juergen Lorenz, Christoph W. Ueberhuber, Peter Wurzinger |
FFT Compiler Techniques. |
CC |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Jennifer Lhoták, Ondrej Lhoták, Laurie J. Hendren |
Integrating the Soot Compiler Infrastructure into an IDE. |
CC |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Somnath Ghosh, Abhay Kanhere, Rakesh Krishnaiyer, Dattatraya Kulkarni, Wei Li 0015, Chu-Cheow Lim, John Ng |
Integrating High-Level Optimizations in a Production Compiler: Design and Implementation Experience. |
CC |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Pierre-Etienne Moreau, Christophe Ringeissen, Marian Vittek |
A Pattern Matching Compiler for Multiple Target Languages. |
CC |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Hubert Garavel, Frédéric Lang, Radu Mateescu 0001 |
Compiler Construction Using LOTOS NT. |
CC |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Hermano P. Moura, David A. Watt |
Action Transformations in the ACTRESS Compiler Generator. |
CC |
1994 |
DBLP DOI BibTeX RDF |
|
27 | Lal George, Florent Guillame, John H. Reppy |
A Portable and Optimizing Back End for the SML/NJ Compiler. |
CC |
1994 |
DBLP DOI BibTeX RDF |
|
27 | Peter Ørbæk |
OASIS: An Optimizing Action-Based Compiler Generator. |
CC |
1994 |
DBLP DOI BibTeX RDF |
|
27 | Annie Despland, Monique Mazaud, Raymond Rakotozafy |
PAGODE: A Back End Generator Using Attribute Abstract Syntaxes and Term Rewritings. |
CC |
1990 |
DBLP DOI BibTeX RDF |
|
27 | Uwe Kastens |
Abstract Interfaces for Compiler Generating Tools. |
CC |
1988 |
DBLP DOI BibTeX RDF |
|
26 | Jeremiah Willcock, Andrew Lumsdaine, Daniel J. Quinlan |
Reusable, generic program analyses and transformations. |
GPCE |
2009 |
DBLP DOI BibTeX RDF |
compiler optimization, generic programming |
26 | Yung-Chia Lin, Chia-Han Lu, Chung-Ju Wu, Chung-Lin Tang, Yi-Ping You, Ya-Chiao Moo, Jenq Kuen Lee |
Effective Code Generation for Distributed and Ping-Pong Register Files: A Case Study on PAC VLIW DSP Cores. |
J. Signal Process. Syst. |
2008 |
DBLP DOI BibTeX RDF |
ping-pong register files, clustering, parallel processing, compiler, DSP, VLIW |
26 | Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi |
Compile-time area estimation for LUT-based FPGAs. |
ACM Trans. Design Autom. Electr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Reconfigurable computing, compiler optimization, resource estimation |
26 | Jian Huang, David J. Lilja |
Balancing Reuse Opportunities and Performance Gains with Subblock Value Reuse. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
Block reuse, subblock reuse, compiler flow analysis, value reuse, value locality |
26 | Tatsushi Inagaki, Tamiya Onodera, Hideaki Komatsu, Toshio Nakatani |
Stride prefetching by dynamically inspecting objects. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
Java just-in-time compiler, object inspection, stride prefetching |
26 | Yoichi Omori, Akira Fukuda, Kazuki Joe |
An Object-Oriented Framework for Loop Parallelization. |
J. Supercomput. |
1999 |
DBLP DOI BibTeX RDF |
object-oriented design, portability, parallelizing compiler, loop parallelization, OMT |
26 | Peng Wu 0001, David A. Padua |
Containers on the Parallelization of General-Purpose Java Programs. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
compiler parallelization, dependence test, Java parallelization, container, data speculation |
26 | Thomas M. Conte, Kishore N. Menezes, Mary Ann Hirsch |
Accurate and Practical Profile-driven Compilation Using the Profile Buffer. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
profile buffer, superblock scheduling, profiling, compiler optimization, instruction-level parallelism |
26 | Gagan Agrawal, Alan Sussman, Joel H. Saltz |
An Integrated Runtime and Compile-Time Approach for Parallelizing Structured and Block Structured Applications. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
Compiler support, distributed memory parallel machines, multiblock codes, multigrid codes, High-Performance Fortran, runtime support |
25 | Nathan E. Rosenblum, Barton P. Miller, Xiaojin Zhu 0001 |
Extracting compiler provenance from program binaries. |
PASTE |
2010 |
DBLP DOI BibTeX RDF |
program provenance, static binary analysis, forensics |
25 | Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, Dan Grossman |
CoreDet: a compiler and runtime system for deterministic multithreaded execution. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
compilers, multithreading, multicore, determinism |
25 | Shuyi Shao, Alex K. Jones, Rami G. Melhem |
Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems. |
IEEE Trans. Parallel Distributed Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Xavier Leroy |
Formal verification of a realistic compiler. |
Commun. ACM |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Magnus O. Myreen, Konrad Slind, Michael J. C. Gordon |
Extensible Proof-Producing Compilation. |
CC |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry |
Compiler and hardware support for reducing the synchronization of speculative threads. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing |
25 | Anna Zaks, Amir Pnueli |
Program analysis for compiler validation. |
PASTE |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt |
A Compiler-in-the-Loop framework to explore Horizontally Partitioned Cache architectures. |
ASP-DAC |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Sun C. Chan, Guang R. Gao, Barbara M. Chapman, T. Linthicum, A. Dasgupta |
Open64 compiler infrastructure for emerging multicore/manycore architecture All Symposium Tutorial. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy |
Improving I/O performance through compiler-directed code restructuring and adaptive prefetching. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Marc L. Corliss, E. Christopher Lewis |
Bantam: a customizable, java-based, classroom compiler. |
SIGCSE |
2008 |
DBLP DOI BibTeX RDF |
bantam java |
25 | Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt |
Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge Processors. |
CGO |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Guy Eddon, Maurice Herlihy |
Language Support and Compiler Optimizations for STM and Transactional Boosting. |
ICDCIT |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Guodong Li, Scott Owens, Konrad Slind |
Structure of a Proof-Producing Compiler for a Subset of Higher Order Logic. |
ESOP |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Vijay Menon 0002, Neal Glew, Brian R. Murphy, Andrew McCreight, Tatiana Shpeisman, Ali-Reza Adl-Tabatabai, Leaf Petersen |
A verifiable SSA program representation for aggressive compiler optimization. |
POPL |
2006 |
DBLP DOI BibTeX RDF |
SSA formalization, check elimination, proof variables, safety dependences, typeability preservation, type systems, intermediate representations, typed intermediate languages |
25 | Ozcan Ozturk 0001, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu |
Compiler-Guided data compression for reducing memory consumption of embedded applications. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Yosi Ben-Asher, Danny Meisler |
Towards a Source Level Compiler: Source Level Modulo Scheduling. |
Program Analysis and Compilation |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Masayo Haneda, Peter M. W. Knijnenburg, Harry A. G. Wijshoff |
On the impact of data input sets on statistical compiler tuning. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Yosi Ben-Asher, Danny Meisler |
Towards a Source Level Compiler: Source Level Modulo Scheduling. |
ICPP Workshops |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Charles Hardnett, Krishna V. Palem, Yogesh Chobe |
Compiler optimization of embedded applications for an adaptive SoC architecture. |
CASES |
2006 |
DBLP DOI BibTeX RDF |
compilers, resource allocation, system on chip, reconfigurable computing, resource scheduling |
25 | Eugen-Nicolae Volanschi |
A Portable Compiler-Integrated Approach to Permanent Checking. |
ASE |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Dan Cyca, Laurence E. Turner |
Bit-Serial Digital Filter Implementation using a Custom C Compiler. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Alexandre E. Eichenberger, Kathryn M. O'Brien, Kevin O'Brien, Peng Wu 0001, Tong Chen 0001, Peter H. Oden, Daniel A. Prener, Janice C. Shepherd, Byoungro So, Zehra Sura, Amy Wang, Tao Zhang, Peng Zhao, Michael Gschwind |
Optimizing Compiler for the CELL Processor. |
IEEE PACT |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Xiaoqing Wu, Suman Roychoudhury, Barrett R. Bryant, Jeffrey G. Gray, Marjan Mernik |
A two-dimensional separation of concerns for compiler construction. |
SAC |
2005 |
DBLP DOI BibTeX RDF |
pattern transformation, compiling, aspect-oriented programming, separation of concerns |
25 | Xinmin Tian, Rakesh Krishnaiyer, Hideki Saito 0001, Milind Girkar, Wei Li 0015 |
Impact of Compiler-based Data-Prefetching Techniques on SPEC OMP Application Performance. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Yung-Chia Lin, Chung-Lin Tang, Chung-Ju Wu, Ming-Yu Hung, Yi-Ping You, Ya-Chiao Moo, Sheng-Yuan Chen, Jenq Kuen Lee |
Compiler Supports and Optimizations for PAC VLIW DSP Processors. |
LCPC |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Shin-Jia Hwang, Kuang-Hsi Chen |
A Proxy Automatic Signature Scheme Using a Compiler in Distributed Systems for (Unknown) Virus Detection. |
AINA |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Dirk Leinenbach, Wolfgang J. Paul, Elena Petrova |
Towards the Formal Verification of a C0 Compiler: Code Generation and Implementation Correctnes. |
SEFM |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz |
Coupling compiler-enabled and conventional memory accessing for energy efficiency. |
ACM Trans. Comput. Syst. |
2004 |
DBLP DOI BibTeX RDF |
translation buffers, virtually addressed caches, Energy efficiency |
25 | Hideaki Yanagisawa, Minoru Uehara, Hideki Mori |
Automatic Generation of a Simulation Compiler by a HW/SW Co-Design System. |
IEEE International Workshop on Rapid System Prototyping |
2004 |
DBLP DOI BibTeX RDF |
|
25 | John S. Seng, Dean M. Tullsen |
The Effect of Compiler Optimizations on Pentium 4 Power Consumption. |
Interaction between Compilers and Computer Architectures |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative analysis and optimizations. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion |
25 | Byoungro So, Pedro C. Diniz, Mary W. Hall |
Using estimates from behavioral synthesis tools in compiler-directed design space exploration. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
synthesis techniques for reconfigurable computing, field-programmable-gate-array, high-level synthesis, rapid prototyping, design space exploration |
25 | Chen Ding 0001, Yutao Zhong 0001 |
Compiler-directed run-time monitoring of program data access. |
MSP/ISMM |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Chun Hok Ho, Philip Heng Wai Leong, Kuen Hung Tsoi, Ralf Ludewig, Peter Zipf, Alberto García Ortiz, Manfred Glesner |
Fly - A Modifiable Hardware Compiler. |
FPL |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi |
Fast Area Estimation to Support Compiler Optimizations in FPGA-Based Reconfigurable Systems. |
FCCM |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Xiaogang Li 0001, Ruoming Jin, Gagan Agrawal |
Compiler and Runtime Support for Shared Memory Parallelization of Data Mining Algorithms. |
LCPC |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Dongkeun Kim, Donald Yeung |
Design and evaluation of compiler algorithms for pre-execution. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Michael I. Gordon, William Thies, Michal Karczmarek, Jasper Lin, Ali S. Meli, Andrew A. Lamb, Chris Leger, Jeremy Wong, Henry Hoffmann, David Maze, Saman P. Amarasinghe |
A stream compiler for communication-exposed architectures. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Youfeng Wu, Mauricio J. Serrano, Rakesh Krishnaiyer, Wei Li 0015, Jesse Fang |
Value-Profile Guided Stride Prefetching for Irregular Code. |
CC |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Shyh-Kwei Chen, W. Kent Fuchs |
Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
compilers, Fault-tolerant computing, instruction level parallelism, VLIW architectures, instruction retry |
25 | Neil V. Brewster, Tarek S. Abdelrahman |
A Compiler Infrastructure for High-Performance Java. |
HPCN |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Mahmut T. Kandemir, Ismail Kadayif |
Compiler-directed selection of dynamic memory layouts. |
CODES |
2001 |
DBLP DOI BibTeX RDF |
array reuse, memory layout optimization, software compilation, data dependence, data locality |
25 | Jianxin Xiong, Jeremy R. Johnson, Robert W. Johnson, David A. Padua |
SPL: A Language and Compiler for DSP Algorithms. |
PLDI |
2001 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
25 | Dirk Fischer 0001, Jürgen Teich, Ralph Weper, Uwe Kastens, Michael Thies |
Design space characterization for architecture/compiler co-exploration. |
CASES |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Gagan Agrawal, Ruoming Jin, Xiaogang Li 0001 |
Compiler and Middleware Support for Scalable Data Mining. |
LCPC |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Jay Bharadwaj, William Y. Chen, Weihaw Chuang, Gerolf Hoflehner, Kishore N. Menezes, Kalyan Muthukumar, Jim Pierce |
The Intel IA-64 Compiler Code Generator. |
IEEE Micro |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Kazuaki Ishizaki, Motohiro Kawahito, Toshiaki Yasue, Hideaki Komatsu, Toshio Nakatani |
A study of devirtualization techniques for a JavaTM Just-In-Time compiler. |
OOPSLA |
2000 |
DBLP DOI BibTeX RDF |
Java |
25 | David Judd, Katherine A. Yelick, Christoforos E. Kozyrakis, David R. Martin 0001, David A. Patterson 0001 |
Exploiting On-Chip Memory Bandwidth in the VIRAM Compiler. |
Intelligent Memory Systems |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Christopher Colby, Peter Lee 0001, George C. Necula, Fred Blau, Mark Plesko, Kenneth Cline |
A certifying compiler for Java. |
PLDI |
2000 |
DBLP DOI BibTeX RDF |
|
25 | George Almási 0001, David A. Padua |
MaJIC: A Matlab Just-In-time Compiler. |
LCPC |
2000 |
DBLP DOI BibTeX RDF |
|
25 | Somnath Ghosh, Margaret Martonosi, Sharad Malik |
Cache miss equations: a compiler framework for analyzing and tuning memory behavior. |
ACM Trans. Program. Lang. Syst. |
1999 |
DBLP DOI BibTeX RDF |
optimization, compilation, program transformation, cache memories |
25 | Andreas Heberle, Thilo Gaul, Wolfgang Goerigk, Gerhard Goos, Wolf Zimmermann |
Construction of Verified Compiler Front-Ends with Program-Checking. |
Ershov Memorial Conference |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Daniel A. Connors, Wen-mei W. Hwu |
Compiler-Directed Dynamic Computation Reuse: Rationale and Initial Results. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
|
25 | James M. Stichnoth, Guei-Yuan Lueh, Michal Cierniak |
Support for Garbage Collection at Every Instruction in a Java Compiler. |
PLDI |
1999 |
DBLP DOI BibTeX RDF |
Java, Java, compilers, garbage collection |
25 | Aleksandar M. Bakic, Matt W. Mutka |
A Compiler-Based Approach to Design and Engineering of Complex Real-Time Systems. |
ICDCS |
1999 |
DBLP DOI BibTeX RDF |
Distributed Real-Time System Design, Adaptive Computing Systems, Constraint Logic Programming |
25 | Hirotaka Ogawa, Satoshi Matsuoka, Fuyuhiko Maruyama, Yukihiko Sohda, Kouya Shimura, Yasunori Kimura |
OpenJIT Frontend System: An Implementation of the Reflective JIT Compiler Frontend. |
Reflection and Software Engineering |
1999 |
DBLP DOI BibTeX RDF |
|
25 | Brian L. Deitrich, Ben-Chung Cheng, Wen-mei W. Hwu |
Improving Static Branch Prediction in a Compiler. |
IEEE PACT |
1998 |
DBLP DOI BibTeX RDF |
static branch prediction, profile-based compilation |
25 | Andrew Bernard, Robert Harper 0001, Peter Lee 0001 |
How Generic is a Generic Black End? Using MLRISC as a Black End for the TIL Compiler. |
Types in Compilation |
1998 |
DBLP DOI BibTeX RDF |
|
25 | Tatsushi Inagaki, Junpei Niwa, Takashi Matsumoto 0002, Kei Hiraki |
Supporting Software Distributed Shared Memory with an Optimizing Compiler. |
ICPP |
1998 |
DBLP DOI BibTeX RDF |
|
25 | Christopher Lapkowski, Laurie J. Hendren |
Extended SSA Numbering: Introducing SSA Properties to Language with Multi-level Pointers. |
CC |
1998 |
DBLP DOI BibTeX RDF |
|
25 | Shaun Kaneshiro, Tatsuya Shindo |
Profiling Optimized Code: A Profiling System for an HPF Compiler. |
IPPS |
1996 |
DBLP DOI BibTeX RDF |
|
25 | David Tarditi, J. Gregory Morrisett, Perry Cheng, Christopher A. Stone, Robert Harper 0001, Peter Lee 0001 |
TIL: a type-directed, optimizing compiler for ML (with retrospective) |
Best of PLDI |
1996 |
DBLP DOI BibTeX RDF |
|
25 | John C. Ruttenberg, Guang R. Gao, Woody Lichtenstein, Artour Stoutchinin |
Software Pipelining Showdown: Optimal vs. Heuristic Methods in a Production Compiler. |
PLDI |
1996 |
DBLP DOI BibTeX RDF |
|
25 | Suzanne M. Embury, Peter M. D. Gray |
A Modular Compiler Architecture for a Data Manipulation Language. |
BNCOD |
1996 |
DBLP DOI BibTeX RDF |
run-time compiling, semantic data models, data manipulation languages |
25 | Lynn Choi, Pen-Chung Yew |
Compiler and Hardware Support for Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 10806 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|