The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnections with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1975 (15) 1976-1981 (16) 1982-1986 (15) 1987-1988 (24) 1989 (15) 1990 (18) 1991-1992 (27) 1993 (25) 1994 (19) 1995 (40) 1996 (35) 1997 (32) 1998 (22) 1999 (44) 2000 (58) 2001 (39) 2002 (42) 2003 (40) 2004 (56) 2005 (74) 2006 (71) 2007 (81) 2008 (83) 2009 (54) 2010 (28) 2011 (23) 2012 (21) 2013 (27) 2014 (20) 2015 (19) 2016 (25) 2017 (20) 2018 (36) 2019 (22) 2020 (16) 2021 (27) 2022 (30) 2023 (61) 2024 (4)
Publication types (Num. hits)
article(449) book(2) incollection(4) inproceedings(857) phdthesis(11) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1038 occurrences of 719 keywords

Results
Found 1324 publication records. Showing 1324 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Andrew B. T. Hopkins, Klaus D. McDonald-Maier Transform Digital Signal Processor Architecture using Optical Interconnections. Search on Bibsonomy Parallel and Distributed Computing and Networks The full citation details ... 2005 DBLP  BibTeX  RDF
16Janusz A. Starzyk, Mingwei Ding, Haibo He Optimized Interconnections in Probabilistic Self-Organizing Learning. Search on Bibsonomy Artificial Intelligence and Applications The full citation details ... 2005 DBLP  BibTeX  RDF
16Viswanath Talasila, Jesús Clemente-Gallardo, A. J. van der Schaft Discrete port-Hamiltonian systems: mixed interconnections. Search on Bibsonomy CDC/ECC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Sarangapani Jagannathan Decentralized Discrete-Time Neural Network Controller for a Class of Nonlinear Systems with Unknown Interconnections. Search on Bibsonomy ISIC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16J. B. Pérez-Ramas, David Atienza, Miguel Peón Quirós, Ivan Magan, Jose Manuel Mendias, Román Hermida Versatile FPGA-Based Functional Validation Framework for Networks-on-Chip Interconnections Designs. Search on Bibsonomy PARCO The full citation details ... 2005 DBLP  BibTeX  RDF
16Roberto Navigli Supporting Large-Scale Knowledge Acquisition with Structural Semantic Interconnections. Search on Bibsonomy AAAI Spring Symposium: Knowledge Collection from Volunteer Contributors The full citation details ... 2005 DBLP  BibTeX  RDF
16Rakesh Kumar 0002, Victor V. Zyuban, Dean M. Tullsen Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Youngsik Hur Equalization and Near-End Crosstalk (NEXT) Noise Cancellation for 20-Gbit/sec 4-PAM Backplane Serial I/O Interconnections. Search on Bibsonomy 2005   RDF
16Nader Mohamed, Jameela Al-Jaroodi, Hong Jiang 0001 Configurable Communication Middleware for Clusters with Multiple Interconnections. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2004 DBLP  BibTeX  RDF
16Ju H. Park 0001 Robust non-fragile guaranteed cost control of uncertain large-scale systems with time-delays in subsystem interconnections. Search on Bibsonomy Int. J. Syst. Sci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Haldun M. Özaktas Information flow and interconnections in computing: extensions and applications of Rent's rule. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Distributed Diagnosis of Interconnections in SoC and MCM Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect test and diagnosis, performance fault diagnosis, design for testability for SOCs and MCMs, MISR reconfiguration
16Chunguang Li, Xiaofeng Liao, Houjun Wang Stability analysis of fuzzy large-scale systems with time delays in interconnections. Search on Bibsonomy Int. J. Gen. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16X. Q. Shi, John H. L. Pang, X. R. Zhang Investigation of long-term reliability and failure mechanism of solder interconnections with multifunctional micro-moiré interferometry system. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Geneviève Duchamp, Frédéric Verdier, Yannick Deshayes, François Marc, Yves Ousten, Yves Danto Reliability of Low-Cost PCB Interconnections for Telecommunication Applications. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Hyo-Joong Suh, Sung Woo Chung DRACO: optimized CC-NUMA system with novel dual-link interconnections to reduce the memory latency. Search on Bibsonomy MEDEA@PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yi Guo Decentralized disturbance attenuation for large-scale nonlinear systems with delayed state interconnections. Search on Bibsonomy ACC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Wenxin Liu 0001, Sarangapani Jagannathan, Donald C. Wunsch II, Mariesa L. Crow Decentralized neural network control of a class of large-scale systems with unknown interconnections. Search on Bibsonomy CDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Herbert G. Tanner On the controllability of nearest neighbor interconnections. Search on Bibsonomy CDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16H. Yousef, E. El-Madbouly, D. Eteim, M. Hamdy Indirect Adaptive Fuzzy Decentralized Control for a Class of Large-Scale Nonlinear Systems with Unknown Interconnections. Search on Bibsonomy International Conference on Computational Intelligence The full citation details ... 2004 DBLP  BibTeX  RDF
16Daisuke Watanabe, Masakatsu Suda, Toshiyuki Okayasu 34.1Gbps Low Jitter, Low BER High-Speed Parallel CMOS Interface for Interconnections in High-Speed Memory Test System. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Sunan Huang 0001, Kok Kiong Tan, Tong Heng Lee Decentralized control design for large-scale systems with strong interconnections using neural networks. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Mary Y. L. Wisniewski, Emmanuel Yashchin, Robert L. Franch, David P. Conrady, Daniel N. Maynard, Giovanni Fiorenza, I. Cevdet Noyan The physical design of on-chip interconnections. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16J. H. Zhang, Yan Cheong Chan, M. O. Alam, S. Fu Contact resistance and adhesion performance of ACF interconnections to aluminum metallization. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Qian Wang, Naoe Hosoda, Toshihiro Itoh, Tadatomo Suga Reliability of Au bump-Cu direct interconnections fabricated by means of surface activated bonding method. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Grzegorz Tosik, Frédéric Gaffiot, Zbigniew Lisik, Ian O'Connor, Faress Tissafi-Drissi Optical versus Electrical Interconnections for Clock Distribution Networks in New VLSI Technologies. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16A. Agung Julius, A. J. van der Schaft Compatibility of behavior interconnections. Search on Bibsonomy ECC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Shidhartha Das, Kanak Agarwal, David T. Blaauw, Dennis Sylvester Optimal Inductance for On-chip RLC Interconnections. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jayanta Sen, Mihir K. Chakraborty A Study of Interconnections Between Rough and 3-Valued Lukasiewicz Logics. Search on Bibsonomy Fundam. Informaticae The full citation details ... 2002 DBLP  BibTeX  RDF
16Ennis T. Ogawa, Ki-Don Lee, Volker A. Blaschke, Paul S. Ho Electromigration reliability issues in dual-damascene Cu interconnections. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Eduardo D. Sontag, Brian P. Ingalls A small-gain theorem with applications to input/output systems, incremental stability, detectability, and interconnections. Search on Bibsonomy J. Frankl. Inst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hansheng Wu Decentralized adaptive robust control for a class of large-scale systems including delayed state perturbations in the interconnections. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Philippe Flajolet, Kostas P. Hatzis, Sotiris E. Nikoletseas, Paul G. Spirakis On the robustness of interconnections in random graphs: a symbolic approach. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16De-Shin Liu, Chin-Yu Ni The optimization design of bump interconnections in flip chip packages from the electrical standpoint. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Michele Favalli, Cecilia Metra Problems Due to Open Faults in the Interconnections of Self-Checking Data-Paths. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hong-Yi Huang, Shih-Lun Chen High-speed receivers for on-chip interconnections in deep-submicron process. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Wladyslaw Szczesniak Application of adaptive circuit partitioning algorithm to reduction of interconnections length between elements of VLSI circuit. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Adam Kristof Improved Digital I/O Ports Enhance Testability of Interconnections. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hong Suk Choi, Heesoo Lee, Ki-Dong Lee, Sehun Kim, Jong Hyup Lee Optimal locations of switches and interconnections for ATM LANs. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Haklin Kimm Parallel Computing Using Optical Interconnections. Search on Bibsonomy Scalable Comput. Pract. Exp. The full citation details ... 2001 DBLP  BibTeX  RDF
16José E. Schutt-Ainé, Sung-Mo Kang Scanning the issue interconnections - addressing the next challenge of IC technology (part II: design, characterization, and modeling). Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16José E. Schutt-Ainé, Sung-Mo Kang Interconnections-addressing the next challenge of IC technology (part I: integration and packaging trends). Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Denis Deschacht, Grégory Servel On-chip interconnections: impact of adjacent lines on timing. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Haibin Shi, Xiaoping Liu, Siying Zhang Robust control for a class of similar generalized composite systems with nonlinear interconnections. Search on Bibsonomy ACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kiyotsugu Takaba, Jan C. Willems Analysis of impulsive-smooth behavior for switched interconnections. Search on Bibsonomy ECC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Cecilia Metra, Andrea Pagano, Bruno Riccò On-line testing of transient and crosstalk faults affecting interconnections of FPGA-implemented systems. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kevin T. Tang, Eby G. Friedman Delay and noise estimation of CMOS logic gates driving coupled resistive-capacitive interconnections. Search on Bibsonomy Integr. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Kyungsook Y. Lee, Guoping Liu 0004, Harry F. Jordan TDM Hypercube and TWDM Mesh Optical Interconnections. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Nemo Semret, Raymond R.-F. Liao, Andrew T. Campbell, Aurel A. Lazar Pricing, provisioning and peering: dynamic markets for differentiated Internet services and implications for network interconnections. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16 Bookshelf - Interconnections, Second Edition: Bridges, Routers, Switches, and Internetworking Protocols, The Team Handbook, Second Edition. Search on Bibsonomy IEEE Softw. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Takashi Yoshikawa, Hiroshi Matsuoka Optical interconnections for parallel and distributed computing. Search on Bibsonomy Proc. IEEE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Yao Li, Elias Towe, Michael W. Haney Scanning the issue - Special issue on optical interconnections for digital systems. Search on Bibsonomy Proc. IEEE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Neil McArdle, Makoto Naruse, Haruyoshi Toyoda, Yuji Kobayashi, Masatoshi Ishikawa Reconfigurable optical interconnections for parallel computing. Search on Bibsonomy Proc. IEEE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Rick Lytel, Howard L. Davidson, Nyles Nettleton, Theresa Sze Optical interconnections within modern high-performance computing systems. Search on Bibsonomy Proc. IEEE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Pierre Guerrier, Alain Greiner A Generic Architecture for On-Chip Packet-Switched Interconnections. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Wassim M. Haddad, VijaySekhar Chellaboina Dissipativity theory and stability of feedback interconnections for hybrid dynamical systems. Search on Bibsonomy ACC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Chien-Hsin Chou, Chih-Chiang Cheng Decentralized model following variable structure control for perturbed large-scale systems with time-delay interconnections. Search on Bibsonomy ACC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Matthias Kawski Calculus of nonlinear interconnections with applications. Search on Bibsonomy CDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Matthew C. Turner, Ian Postlethwaite The application of monotone stability to certain saturated feedback interconnections. Search on Bibsonomy CDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Grégory Servel, Denis Deschacht On-chip crosstalk evaluation between adjacent interconnections. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Denis Deschacht, Grégory Servel, Fabrice Huret, Erick Paleczny, Patrick Kennis Theoretical limits for signal reflections due to inductance for on-chip interconnections. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Huseyin M. Ozkaramanli Transverse distributed coupling between specifically terminated low loss VLSI interconnections. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Armen H. Zemanian, Victor A. Chang Exterior templates for capacitance computations [interconnections]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Ashok Vittal, Lauren Hui Chen, Malgorzata Marek-Sadowska, Kai-Ping Wang, Sherry Yang Crosstalk in VLSI interconnections. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yi Pan Optical Interconnections and Parallel Processing: Trends at the Interface. Search on Bibsonomy Scalable Comput. Pract. Exp. The full citation details ... 1999 DBLP  BibTeX  RDF
16Ángel Rodríguez-Vázquez, Elisenda Roca, Manuel Delgado-Restituto, Servando Espejo-Meana, Rafael Domínguez-Castro MOST-Based Design and Scaling of Synaptic Interconnections in VLSI Analog Array Processing CNN Chips. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Ashok Vittal, Lauren Hui Chen, Malgorzata Marek-Sadowska, Kai-Ping Wang, Sherry Yang Modeling Crosstalk in Resistive VLSI Interconnections. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jason Cong, Yean-Yow Hwang, Songjie Xu Technology Mapping for FPGAs with Nonuniform Pin Delays and Fast Interconnections. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Daiqing Lei Multi-chip module interconnections at microwave frequencies : electromagnetic simulation and material characterisation. Search on Bibsonomy 1999   RDF
16Carmine Ciofi, Romano Giannetti, Bruno Neri True constant temperature measurement system for lifetime tests of metallic interconnections of IC's. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Panayotis C. Andricacos, Cyprian Uzoh, John O. Dukovic, Jean Horkans, Hariklia Deligianni Damascene copper electroplating for chip interconnections. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Jung-Hua Wang Principal Interconnections in Higher Order Hebbian-Type Associative Memories. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Howard Falk Prolog To Electrical Characteristics Of Interconnections For High-performance Systems. Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Alina Deutsch Electrical characteristics of interconnections for high-performance systems. Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Synthesis of BIST hardware for performance testing of MCM interconnections. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Roberta L. Klatzky Allocentric and Egocentric Spatial Representations: Definitions, Distinctions, and Interconnections. Search on Bibsonomy Spatial Cognition The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Stephen I. Long, Johnny Qi Zhang Low power GaAs current-mode 1.2 Gb/s interchip interconnections. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jan C. Willems On interconnections, control, and feedback. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Seok Ho Song, El-Hang Lee Parallel Optical Packet Address Detection Using Planar Optical Interconnections. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Sudhakar Muddu Analysis of RC interconnections under ramp input. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF diffusion equation analysis, ramp input response, VLSI interconnects
16Pascal Berthomé, Afonso Ferreira Communication Issues in Parallel Systems with Optical Interconnections. Search on Bibsonomy Int. J. Found. Comput. Sci. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Yves Defosse, Jean-Louis de Bougrenet de la Tocnaye, Eric Quertemont, Raymond Chevallier Microoptical elements for single mode fibre arrays free-space interconnections. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tetsuhisa Mido, Kunihiro Asada Crosstalk noise in high density and high speed interconnections due to inductive coupling. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Yuzo Hirai A 1, 000-Neuron System with One Million 7-bit Physical Interconnections. Search on Bibsonomy NIPS The full citation details ... 1997 DBLP  BibTeX  RDF
16José Luiz Fiadeiro, Antónia Lopes, T. S. E. Maibaum Synthesising interconnections. Search on Bibsonomy Algorithmic Languages and Calculi The full citation details ... 1997 DBLP  BibTeX  RDF
16P. J. H. Elias, N. P. van der Meijs Including Higher-Order Moments of RC Interconnections in Layout-to-Circuit Extraction. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Dietmar Fey Transformation of a 2-D VLSI Systolic Adder Circuit in 3-D Circuits Using Optical Interconnections. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Bernhard Wunder, Gunther Lehmann, Klaus D. Müller-Glaser A new concept for accurate modeling of VLSI interconnections and its application for timing simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16P. J. H. Elias, N. P. van der Meijs Extracting Circuit Models for Large RC Interconnections that are Accurate up to a Predefined Signal Frequency. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Sudhakar Muddu Analysis of RC Interconnections Under Ramp Input. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Ivo Dobbelaere, Mark Horowitz, Abbas El Gamal Regenerative feedback repeaters for programmable interconnections. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Noboru Ishihara, Shuichi Fujita, Minoru Togashi, Shigeki Hino, Yoshimitsu Arai, Nobuyuki Tanaka, Yoshiji Kobayashi, Yukio Akazawa 3.5-Gb/s⨉4-ch Si bipolar LSI's for optical interconnections. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Alina Deutsch, Gerard V. Kopcsay, Christopher W. Surovic, Barry J. Rubin, Lewis M. Terman, Richard P. Dunne Jr., Thomas A. Gallo, Robert H. Dennard Modeling and characterization of long on-chip interconnections for high-performance microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Randy W. Mann, Larry A. Clevenger, Paul D. Agnello, Francis R. White Silicides and local interconnections for high-performance VLSI applications. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Priyalal Kulasinghe, Ahmed El-Amawy On the Complexity of Optimal Bused Interconnections. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interconnection function, bus minimization, interface minimization, computational complexity, optimal design, Multiple bus system
16Emanuela Fachini, Jozef Gruska, Margherita Napoli, Domenico Parente Power of Interconnections and of Nondeterminism in Regular Y-Tree Systolic Automata. Search on Bibsonomy Math. Syst. Theory The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Harald Richter 0001 Quantitative classification of interconnections. Search on Bibsonomy HPCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Kiran Raghavendra Desai, Kanad Ghose A Comparative Study of Single Hop WDM Interconnections for Multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Guy Coen, Daniel De Zutter Elimination of Mutual Couplings in Discrete Element Networks Arising from the Modeling of High Speed Digital Interconnections. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  BibTeX  RDF
16Yimin Zhang, Armen H. Zemanian Contributions of Corner Singularities of the Capacitance of Interconnections Wires. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1324 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license