The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase low-voltage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (22) 1995 (26) 1996 (22) 1997 (30) 1998 (53) 1999 (77) 2000 (60) 2001 (90) 2002 (111) 2003 (132) 2004 (96) 2005 (132) 2006 (137) 2007 (126) 2008 (150) 2009 (112) 2010 (111) 2011 (119) 2012 (131) 2013 (138) 2014 (132) 2015 (149) 2016 (145) 2017 (151) 2018 (152) 2019 (181) 2020 (160) 2021 (151) 2022 (139) 2023 (135) 2024 (33)
Publication types (Num. hits)
article(1282) book(4) data(4) incollection(4) inproceedings(2092) phdthesis(17)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 374 occurrences of 222 keywords

Results
Found 3403 publication records. Showing 3403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Seong-Ik Cho, Jung-Hwan Lee, Hong-June Park, Gyu-Ho Lim, Young-Hee Kim Two-phase boosted voltage generator for low-voltage DRAMs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Lei Wang, Sherif H. K. Embabi Low-voltage high-speed switched-capacitor circuits without voltage bootstrapper. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Chua-Chin Wang, Ya-Hsin Hsueh, Ting-Wan Kuo, Ron Hu A boosted wordline voltage generator for low-voltage memories. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Saravanan Rajapandian, Zheng Xu 0003, Kenneth L. Shepard Charge-Recycling Voltage Domains for Energy-Efficient Low-Voltage Operation of Digital CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Fujihiko Matsumoto, Hiroki Wasaki, Yasuaki Noguchi Low-Voltage Linear Bipolar OTAs Employing Hyperbolic Circuits with an Intermediate Voltage Terminal. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
16Jaber A. Abu-Qahouq, Natorn Pongratananukul, Issa Batarseh, Takis Kasparis DSP controlled low-voltage high-current fast-transient Voltage Regulator Module. Search on Bibsonomy ICASSP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Luis Henrique de Carvalho Ferreira, Robson L. Moreno, Tales C. Pimenta, Carlos A. R. Filho A precise sample-and-hold circuit topology in CMOS for low voltage applications with offset voltage self correction. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Salvatore Pennisi Using a low-voltage COA for high-performance voltage amplification. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16A. Bendali, Yvon Savaria Low-voltage bandgap reference with temperature compensation based on a threshold voltage technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Mikko Waltari, Kari Halonen Reference voltage driver for low-voltage CMOS A/D converters. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hoi Lee, Philip K. T. Mok, Wing-Hung Ki A novel voltage-control scheme for low-voltage DC-DC converters with fast transient recovery. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Jaime Ramírez-Angulo, Ramón G. Carvajal, Juana M. Martínez-Heredia, Antonio Torralba 0002 Very low-voltage class AB CMOS precision voltage and current rectifiers. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Gajendra P. Singh, Raoul B. Salem High-voltage-tolerant I/O buffers with low-voltage CMOS process. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Giuseppe Ferri, Franco Alfonsetti, Gian Carlo Cardarilli, Marco Re Bipolar and CMOS low voltage-supply reduced-power voltage followers. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Takayuki Kawahara, Syun-ichi Saeki, Yusuke Jyouno, Naoki Miyamoto, Takashi Kobayashi 0002, Katsutaka Kimura Internal voltage generator for low voltage, quarter-micrometer flash memories. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Simon Cimin Li, Reggie Chien, Jerry Chien, Kaung-Long Lin A Simple Architecture of Low Voltage GHz BiCMOS Four-Quadrant Analogue Multiplier using Complementary Voltage Follower. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Masayuki Miyazaki, Hiroyuki Mizuno, Koichiro Ishibashi A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSIs. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Tsukasa Ooishi, Yuichiro Komiya, Kei Hamade, Mikio Asakura, Kenichi Yasuda, Kiyohiro Furutani, Tetsuo Kato, Hideto Hidaka, Hideyuki Ozaki A mixed-mode voltage down converter with impedance adjustment circuitry for low-voltage high-frequency memories. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Jaime Ramírez-Angulo High Slew Rate, Low Voltage BiCMOS and Bipolar Operational Amplifier Architectures with Rail to Rrail Common Mode Input Voltage Swing. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Jaime Ramírez-Angulo, Alejandro Díaz-Sánchez Low-voltage Programmable FIR Filters Using Voltage Followers and Analog Multipliers. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
15Francesco Gullo, Giovanni Ponti, Andrea Tagarelli, Salvatore liritano, Massimiliano Ruffolo, Diego Labate Low-voltage electricity customer profiling based on load data clustering. Search on Bibsonomy IDEAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Burak Çatli, Mona Mostafa Hella A 0.5-V 3.6/5.2 GHz CMOS multi-band LC VCO for ultra low-voltage wireless applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Daryl Van Vorst, Shahriar Mirabbasi Low-voltage bulk-driven mixer with on-chip balun. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Fabrice Guigues, Edith Kussener, Benjamin Duval, Hervé Barthélemy Moderate Inversion: Highlights for Low Voltage Design. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Pedro J. Trujillo Tarazona Field evaluation of a protocol for data transmission through the distribution lines of the low voltage grid. Search on Bibsonomy EATIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PowerBus, automatic meter reading, power line carrier, embedded system, PLC, AMR
15George Fikos, Lazaros Nalpantidis, Stilianos Siskos A low-voltage, analog power-law function generator. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Behnam Sedighi, Mehrdad Sharif Bakhtiar A New Class AB Current-Mode Circuit for Low-Voltage Applications. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Haihua Yan, Adit D. Singh, Gefu Xu Delay Defect Characterization Using Low Voltage Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Louie Pylarinos, Khoman Phang Low-voltage programmable gm-C filter for hearing aids using dynamic gate biasing. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Payam Heydari, Ravindran Mohanavelu Design of ultrahigh-speed low-voltage CMOS CML buffers and latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Guangbin Zhang, Jin Liu 0004, Sungyong Jung An accurate current source with on-chip self-calibration circuits for low-voltage differential transmitter drivers. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Romero Tavares, Bruno Vaz, João Goes, Nuno F. Paulino, Adolfo Steiger-Garção Design and optimization of low-voltage two-stage CMOS amplifiers with enhanced performance. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tsung-Sum Lee, Chi-Chang Lu A fully differential low-voltage CMOS high-speed track-and-hold circuit. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jaime Ramírez-Angulo, Ramón González Carvajal, Gladys Omayra Ducoudray New very compact CMOS continuous-time low-voltage analog rank-order filter architecture. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jader A. De Lima An active leakage-injection scheme applied to low-voltage SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Yngvar Berg, Snorre Aunet, Øivind Næss, Johannes Goplen Lomsdalen, Mats Høvin Exploiting hyperbolic functions to increase linearity in low-voltage floating-gate transconductance amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Payam Heydari Design issues in low-voltage high-speed current-mode logic buffers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, noise, integrated circuit, high-speed, current-mode logic
15Payam Heydari Design and Analysis of Low-Voltage Current-Mode Logic Buffers. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Eric W. MacDonald, Nur A. Touba Very Low Voltage Testing of SOI Integrated Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Tsung-Sum Lee, Chi-Chang Lu A low-voltage fully differential CMOS high-speed track-and-hold circuit. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Giuseppe Palmisano, Salvatore Pennisi Low-voltage continuous-time CMOS current amplifier with dynamic biasing. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yngvar Berg, Snorre Aunet, Øivind Næss, Mats Høvin Exploiting sinh and tanh shaped ultra low-voltage floating-gate transconductance amplifiers to reduce harmonic distortion. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yngvar Berg, Snorre Aunet, Øivind Næss, Henning Gundersen, Mats Høvin Extreme low-voltage floating-gate CMOS transconductance amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Tsung-Sum Lee, Jing-Lea Huang A low-voltage BiCMOS transconductor with improved linearity for VHF transconductance-C filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Tuna B. Tarim, H. Hakan Kuntman, Mohammed Ismail 0001 Robust Design of Basic Low Voltage CMOS Transconductors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15G. Xu, Sherif H. K. Embabi, P. Hao, Edgar Sánchez-Sinencio A low voltage fully differential nested Gm capacitance compensation amplifier: analysis and design. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Akira Hyogo, Y. Fukutomi, Keitaro Sekine Low voltage four-quadrant analog multiplier using square-root circuit based on CMOS pair. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Fathi A. Farag, Carlos Galup-Montoro, Márcio C. Schneider A programmable low voltage switched-current FIR filter. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Yngvar Berg, Tor Sverre Lande Tunable current mirrors for ultra low voltage. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Sergio Callegari, Gianluca Setti Improved bandwidth, low voltage log domain building blocks. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A Low Energy FFT/IFFT Processor for Hearing Aids. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jingyu Hu, Mike R. May, Matt D. Felder, Len DiSanza, Lawrence H. Ragan A Fully Integrated Inductorless Low Noise Amplifier with 1dB-Step Programmable Gain for FM Radio Receiver Front-End. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15M. R. Nabavi A 1-V 12-bit switched-op amp pipelined ADC with power optimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Mingoo Seok, David T. Blaauw, Dennis Sylvester Clock network design for ultra-low power applications. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultra-low power, robust design, clock network
15Haizheng Guo, Robert Sobot RF power harvesting analog front-end circuit for implants. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Mohamed H. Abu-Rahma, Mohab Anis A Statistical Design-Oriented Delay Variation Model Accounting for Within-Die Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alfredo Olmos, Andre Vilas Boas, Jefferson Soldera A Sub-1V Low Power Temperature Compensated Current Reference. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Cosmin Popa Linearized CMOS active resistor independent on the bulk effect. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMOS design, active resistor, linearity, area minimization
15Hong-Yi Huang, Chia-Ming Liang, Wei-Ming Chiu 1-99% input duty 50% output duty cycle corrector. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Srikanth Sundaram, Praveen Elakkumanan, Ramalingam Sridhar High Speed Robust Current Sense Amplifier for Nanoscale Memories: - A Winner Take All Approach. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jun-Da Chen, Zhi-Ming Lin 2.4 GHz High IIP3 and Low-Noise Down-conversion Mixer. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ananta K. Majhi, Mohamed Azimane, Guido Gronthoud, Maurice Lousberg, Stefan Eichenberger, Fred Bowen Memory Testing Under Different Stress Conditions: An Industrial Evaluation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chi Yat Leung, Ka Nang Leung, Philip K. T. Mok Design of a 1.5-V high-order curvature-compensated CMOS bandgap reference. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chih-Lung Hsiao, Ro-Min Weng, Kun-Yi Lin A 1V fully differential CMOS LNA for 2.4GHz application. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15José Pineda de Gyvez, Rosa Rodríguez-Montañés Threshold Voltage Mismatch (DeltaVT) Fault Modeling. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Wang-Chi Cheng, Cheong-Fat Chan, Oliver Chiu-sing Choy, Kong-Pang Pun A 1.2 V 900 MHz CMOS mixer. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Wang-Chi Cheng, Cheong-Fat Chan, Oliver Chiu-sing Choy, Kong-Pang Pun A 900 MHz 1.2 V CMOS mixer with high linearity. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Spiridon Vlassis, George Fikos, Stilianos Siskos A floating gate CMOS Euclidean distance calculator and its application to hand-written digit recognition. Search on Bibsonomy ICIP (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Nikhil Tripathi, Amit M. Bhosle, Debasis Samanta, Ajit Pal Optimal Assignment of High Threshold Voltage for Synthesizing Dual Threshold CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15John Poulton An Embedded DRAM for CMOS ASICs. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Ling Zhang, Jianhua Liu, Haikun Zhu, Chung-Kuan Cheng, Masanori Hashimoto High performance current-mode differential logic. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Magnus Wiklund, Stefan Nilsson, Christian Bjork, Sven Mattisson A 2GHz image-reject receiver in a low IF architecture fabricated in a 0.1µm CMOS technology. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Zhiguo Ge, Tulika Mitra, Weng-Fai Wong A DVS-based pipelined reconfigurable instruction memory. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable memory, low power, instruction cache
14Wayne H. Cheng, Bevan M. Baas Dynamic voltage and frequency scaling circuits with two supply voltages. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Xiaoxi He, Leiting Chen Path Planning Based on Grid-Potential Fields. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Matthew Seetharam A. Holtz, Seetharam Narasimhan, Swarup Bhunia On-die CMOS voltage droop detection and dynamiccompensation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF di/dt voltage droop, on-die voltage droop compensation, power supply droop, predictive current injection
14David Roberts, Nam Sung Kim, Trevor N. Mudge On-Chip Cache Device Scaling Limits and Effective Fault Repair Techniques in Future Nanoscale Technology. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Behnam Amelifard, Massoud Pedram Design of an efficient power delivery network in an soc to enable dynamic power management. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power delivery network, voltage regulator, low-power design, DC-DC converter
14Behnam Amelifard, Massoud Pedram Optimal Selection of Voltage Regulator Modules in a Power Delivery Network. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ilya Obridko, Ran Ginosar Minimal Energy Asynchronous Dynamic Adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14P. Naktongkul, Apinunt Thanachayanont 1.5-V 900-µW 40-dB CMOS variable gain amplifier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Wang-Chi Cheng, Cheong-Fat Chan, Kong-Pang Pun, Oliver Chiu-sing Choy 0.8 V GPS band CMOS VCO with 29% Tuning Range. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jian-Hsing Lee, Jiaw-Ren Shih, Yi-Hsun Wu, Kuo-Feng Yu, Tong-Chern Ong A new pre-driver design for improving the ESD performance of the high voltage tolerant I/O. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14R. Arona, Edoardo Bonizzoni, Franco Maloberti, Guido Torelli Heap charge pump optimisation by a tapered architecture. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Vishal Gupta 0003, Gabriel A. Rincón-Mora Predicting and Designing for the Impact of Process Variations and Mismatch on the Trim Range and Yield of Bandgap References. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Lianxi Liu, Yintang Yang, Zhangming Zhu A High Accuracy Bandgap Reference with Chopped Modulator to Compensate MOSFET Mismatch. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Amitabh Menon, S. K. Nandy 0001, Mahesh Mehendale Multivoltage scheduling with voltage-partitioned variable storage. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multivoltage, high level synthesis, datapath synthesis
14Vijay Raghunathan, Mani B. Srivastava, Rajesh K. Gupta 0001 A survey of techniques for energy efficient on-chip communication. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power design, power management, communication architectures, system-on-chip design, energy efficient design
14Kiyoo Itoh 0001 Trends in Ultralow-Voltage RAM Technology. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Douglas A. Wiegmann, Aaron M. Rich, Thomas J. Overbye, Yan Sun Human Factors Aspects of Power System Voltage Visualizations. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power system visualization, human factors
14Fernando Muñoz 0001, Alfredo Pérez Vega-Leal, Ramón González Carvajal, Antonio Jesús Torralba Silgado, Jonathan Noel Tombs, Jaime Ramírez-Angulo A 1.1 V low-power Sigma-Delta modulator for 14-b 16 kHz A/D conversion. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Chih-Chun Tang, Wen-Shih Lu, Lan-Da Van, Wu-Shiung Feng A 2.4-GHz CMOS down-conversion doubly balanced mixer with low supply voltage. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Apinunt Thanachayanont A 1.5-V CMOS fully differential inductorless RF bandpass amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Chao-Wen Tseng, Ray Chen, Edward J. McCluskey, Phil Nigh MINVDD Testing for Weak CMOS ICs. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Sanjeev Kumar Maheshwari, G. S. Visweswaran A 3.3V Compatible 2.5V TTL-to-CMOS Bidirectional I/O Buffer. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Alberto Nannarelli, Tomás Lang Low-Power Divider. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Floating-point division, low-power, digit-recurrence division
13Lucas Compassi Severo, Tailize C. De-Oliveira, Paulo César Comassetto de Aguirre, Wilhelmus A. M. Van Noije, Alessandro Gonçalves Girardi Variable Conversion Approach for Design Optimization of Low-Voltage Low-Pass Filters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Parthiv Bhau, Vijay Savani Design and Analysis of Low-Voltage and Low-Power 19T FinFET-TGDI-Based Hybrid Full Adders. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ramon H. Vieira, Tawan Chrysther dos Santos, Renan D. P. de Oliveira, Alessandro Gonçalves Girardi, Lucas C. Severo, Paulo César Comassetto de Aguirre A Low-Voltage Low-Power 20-Msps 3-Bit Rail-to-Rail Flash ADC. Search on Bibsonomy LASCAS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 3403 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license