The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Appie van de Liefvoort, Narayan Subramanian A New Approach for the Performance Analysis of a Single-Bus Multiprocessor System with General Service Times. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF single-bus multiprocessor system, general service times, linear algebraic approach, M/G/1/N queuing system, load-dependent arrivals, rational Laplace transform, symbolic programming techniques, explicit closed-form expression, performance evaluation, performance analysis, queueing theory, multiprocessing systems, queuing theory
19Anindya Das, Krishnaiyan Thulasiraman, Vinod K. Agarwal, K. B. Lakshmanan Multiprocessor Fault Diagnosis Under Local Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF multiprocessor fault diagnosis, local constraints, fault constraints, local domain, comparison-based model, t-in-L diagnosable, regular interconnected systems, fault tolerant computing, multiprocessing systems
19Yitzhak Birk On-Line Control and Deadlock-Avoidance in a Page-Parallel Multiprocessor Rasterizer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF online control, page-parallel multiprocessor rasterizer, full-page bitmaps, parallel processing, concurrency control, deadlock-avoidance, rendering (computer graphics), page-description language
19Imadeldin O. Mahgoub, Ahmed K. Elmagarmid Clarifications and Corrections To 'Performance Analysis of a Generalized Class of m-Level Hierarchical Multiprocessor Systems' (Mar 1992 129-138). Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF m-level, hierarchical multiprocessor, performance evaluation, performance analysis, multiprocessing systems
19Akira Imai, Evan Tick Evaluation of Parallel Copying Garbage Collection on a Shared-Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel copying, heap allocation, concurrent logic programming system, VPIM, parallel algorithms, load-balancing, resource allocation, logic programming, garbage collection, shared-memory multiprocessor, shared memory systems, storage management, contention, fragmentation, load distribution, symbolic languages
19Jia Xu Multiprocessor Scheduling of Processes with Release Times, Deadlines, Precedence, and Exclusion Relations. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exclusion relations, nonpreemptive schedule, automated pre-run-time scheduling, scheduling, real-time systems, multiprocessing systems, deadlines, multiprocessor scheduling, hard-real-time systems, release times, precedence
19V. S. S. Nair, Yatin Vasant Hoskote, Jacob A. Abraham Probabilistic Evaluation of On-Line Checks in Fault-Tolerant Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF probabilistic evaluation, fault-tolerant multiprocessor systems, online checks, matrix-based model, probabilistic matrices, fault tolerant computing, probability, fault detection, multiprocessing systems, fault location, concurrent error detection
19Douglas M. Blough, Gregory F. Sullivan, Gerald M. Masson Intermittent Fault Diagnosis in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF intermittent fault diagnosis, nonfaulty processor, fault tolerant computing, hypercubes, multiprocessing systems, multiprocessor systems, probabilistic model, self-diagnosis
19Krishna P. Belkhale, Prithviraj Banerjee Parallel Algorithms for Geometric Connected Component Labeling on a Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF geometric connected component labeling, merging problem, parallel algorithms, parallel algorithms, complexity, computational geometry, hypercube networks, run time, memory requirements, hypercube multiprocessor
19Ramesh Krishnamurti An Approximation Algorithm for Scheduling Tasks on Varying Partition Sizes in Partitionable Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF parameter dependent bound, asymptotically tight bound, partition sizes, partitionable multiprocessor systems, multiple partitions, minimum completion time schedule, worst-case performance bound, scheduling, parallel algorithms, computational complexity, approximation algorithm, parallelization, controller, multiprocessing systems, NP-hard, task scheduling, polynomial time algorithm, processors, multiprocessing programs
19Ingrid Y. Bucher, Donald A. Calahan Models of Access Delays in Multiprocessor Memories. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiprocessor memories, interleaved common memory, multipleprocessors, access conflicts, pipelined accessoperations, performance evaluation, queueing theory, multiprocessing systems, memory architecture, random access, queuing model, access delays
19Jie-Yong Juang, Benjamin W. Wah A Contention-Based Bus-Control Scheme for Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF bus-control schemes, scheduling processors, scheduling, computational complexity, multiprocessor interconnection networks, multiprocessing systems, contention-based, bit-parallel, shared bus
19Qing Yang 0001, Laxmi N. Bhuyan Analysis of Packet-Switched Multiple-Bus Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF approximate queueing network models, packet-switched multiple-bus multiprocessor systems, flow equivalence, crossbar-based multiprocessors, performance evaluation, performance analysis, queueing theory, packet switching, multiprocessing systems, decomposition, single-server queue, synchronous control, asynchronous control
19Ibrahim H. Önyüksel, Keki B. Irani Markovian Queueing Network Models for Performance Analysis of a Single-Bus Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Markovian queueing network models, single-bus multiprocessor system, r-stage hypoexponential distribution, hyperexponential distribution, equilibrium probabilities, service time distributions, performance evaluation, performance analysis, Markov processes, queueing theory, multiprocessing systems, recurrence relations
19Bong-Rad Choi, Kyu Ho Park, Myunghwan Kim 0001 An Improved Hardware Implementation of the Fault-Tolerant Clock Synchronization Algorithm for Large Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fault-tolerant clock synchronization algorithm, large multiprocessor systems, malicious faults, reference clock selection, lower gate complexity, smaller time delay, sorter, counting encoder, threshold generation logic, programmable registers, gate complexity, fault tolerant computing, multiprocessing systems, synchronisation
19Hideharu Amano, Taisuke Boku, Tomohiro Kudoh (SM)²-II: A Large-Scale Multiprocessor for Sparse Matrix Calculations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF node and connecting-line model, receiver selectable multicast, sparse matrix calculations, node oriented concurrent C, concurrent process controller, operational prototype, clusters, multiprocessing systems, parallel machine, parallel machines, scientific computation, computational model, large-scale multiprocessor
19Kyle A. Gallivan, Dennis Gannon, William Jalby, Allen D. Malony, Harry A. G. Wijshoff Experimentally Characterizing the Behavior of Multiprocessor Memory Systems. A Case Study. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multiprocessor memory systems, cache-based multi-vector-processor, address stream, parameterized kernels, algorithmic characteristics, Alliant FX/8, performance analysis, multiprocessing systems, program testing, storage management, buffer storage, behavior analysis
19El Mostafa Daoudi, Gaetan Libert Parallel Givens Factorization on a Shared Memory Multiprocessor. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Givens factorization, shared memory multiprocessor, Parallel linear algebra, orthogonal decomposition, complexity of parallel algorithm
19Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse
19Helmar Burkhart, Roland Millen Performance-Measurement Tools in a Multiprocessor Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF synchronization traffic analysis, bus load measurements, performance-measurement tools, monitoring facilities, breakpoint monitor, mailbox monitor, bus monitor, multi-monitor mode, common programming-language interface, integrated monitoring tool, MODULA-2 multiprocessor environment, performance evaluation, user interface, user interfaces, debugging, software tools, multiprocessing systems
19Anton T. Dahbura, Krishan K. Sabnani, William J. Hery Spare Capacity as a Means of Fault Detection and Diagnosis in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF processor level, preemptive, nonpreemptive, spare capacity, detecting faults, fault tolerant computing, redundancy, fault detection, diagnosis, multiprocessing systems, multiprocessor systems, response time, system recovery
19Rajiv Gupta 0001, Michael Epstein Achieving Low Cost Synchronization in a Multiprocessor System. Search on Bibsonomy PARLE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF multiprocessor systems, parallelizing compilers, barrier synchronization
19Micah Beck, Dina Bitton, W. Kevin Wilkinson Sorting Large Files on a Backend Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF backend multiprocessor, parallel sort-merge algorithm, JASMIN prototype, fast packet bus, streamlined distributed operating system, database management systems, sorting, multiprocessing systems
19Yi-Hsiu Wei, Jean-Luc Gaudiot Demand-Driven Interpretation of FP Programs on a Data-Flow Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF data-flow multiprocessor, demand-driven evaluation system, list-structure language systems, functional language FP, lazy programs, DFP, demand-driven FP, FP-DFP transformation rules, partial-function-value evaluation, run-time interpretation, parallel programming, graph theory, multiprocessing systems, data-flow graphs, program interpreters
19Akira Fukuda Equilibrium Point Analysis of Memory Interference in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF crossbar connection, equilibrium point analysis, fluid approximation, packet broadcast systems, arbitrary static memory reference patterns, bus connections, performance evaluation, performance evaluation, queueing theory, queueing theory, multiprocessing systems, multiprocessor systems, storage management, memory interference
19Charles P. Thacker, Lawrence C. Stewart, Edwin H. Satterthwaite Firefly: A Multiprocessor Workstation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Firefly shared-memory multiprocessor workstation, VLSI VAX processors, floating-point accelerator, Topaz, Ultrix system call interface, multiple threads of control, single address space, performance evaluation, cache, multiprocessing systems, threads, buffer storage, workstations, remote procedure call, multiprocessing
19Woei Lin, Chuan-lin Wu A Distributed Resource Management Mechanism for a Partitionable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF homogeneous processing element pool, distributed resource management mechanism, partitionable multiprocessor system, physically independent subsystems, performance evaluation, parallel processing, parallel computations, parallel architectures, distributed processing, reconfigurability, multistage interconnection networks, operating systems (computers), performance simulation
19Richard F. Rashid, Avadis Tevanian, Michael Young, David B. Golub, Robert V. Baron, David L. Black 0001, William J. Bolosky, Jonathan Chew Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF CMU Mach operating system, paged multiprocessor architecture, paged uniprocessor, Mach virtual-memory management system, architecture independence, distributed system support, parallel architectures, computer architecture, software portability, virtual storage
19Lance A. Glasser, Charles A. Zukowski Continuous Models for Communication Density Constraints on Multiprocessor Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF continuous models, communication density constraints, multiprocessor performance, communication energy density, performance evaluation, multiprocessing systems, interprocessor communication, communication bandwidth
19Jung H. Kim, Winser E. Alexander A Multiprocessor Architecture for Two-Dimensional Digital Filters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF two-dimensional filters, image processing, multiprocessor system, fast algorithm, Digital signal processing chip, parallel computer architecture
19Takanobu Baba, S. Bing Yao, Alan R. Hevner Design of a Functionally Distributed, Multiprocessor Database Machine Using Data Flow Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF parallel and pipelining processing, performance analysis, Data flow analysis, multiprocessor architecture, relational database system
19Abhijit Sengupta, Arunabha Sen, Subir Bandyopadhyay On an Optimally Fault-Tolerant Multiprocessor Network Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF shuffle-exchange graph, diameter of graphs, Connectivity, regular graphs, multiprocessor network, fault-tolerant network
19Anton T. Dahbura, Krishan K. Sabnani, Linda L. King The Comparison Approach to Multiprocessor Fault Diagnosis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF Comparison assignment, fault tolerance, diagnosis, multiprocessor systems, syndrome, self-diagnosable systems
19Raif M. Yanney, John P. Hayes Distributed Recovery in Fault-Tolerant Multiprocessor Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Distributed recovery, fault- tolerant multiprocessor systems, loop networks, fault tolerance, graph theory, reconfiguration, tree networks
19Tony F. Chan, Yousef Saad Multigrid Algorithms on the Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF multigrid algorithms, parallel computing, partial differential equations, Gray codes, hypercube multiprocessor
19Keki B. Irani, Ibrahim H. Önyüksel A Closed-Form Solution for the Performance Analysis of Multiple-Bus Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Markovian queueing networks, performance analysis, Markov chains, multiprocessor systems, memory contention, Bus contention, processing efficiency, multiple buses
19Takanobu Baba, Ken Ishikawa, Kenzo Okuda A Two-Level Microprogrammed Multiprocessor Computer with Nonnumeric Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF two-level microprogramming, nonnumeric processing, parallel processing, multiprocessor, emulation, Database system, symbol manipulation, firmware
19Edmund M. Clarke, Christos Nikolaou Distributed Reconfiguration Strategies for Fault-Tolerant Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF reconfiguration strategies, Communication page, fault-tolerence, multiprocessor systems
19Jan Weglarz Multiprocessor Scheduling with Memory Allocation - A Deterministic Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF paged-virtual memory, Deterministic scheduling, multiprocessor system, memory allocation, independent tasks
19Carlo H. Séquin, Alvin M. Despain, David A. Patterson 0001 Communication In X-TREE, A Modular Multiprocessor System. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Message format, Modular computer, Single-chip processor, Communication, Multiprocessor, Computer network, Routing algorithm, Switching network, Message routing, Address space
19Walter H. Kohler A Preliminary Evaluation of the Critical Path Method for Scheduling Tasks on Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Acyclic directed graph model, critical path priority method, multiprocessor scheduling, branch-and-bound algorithm
18H. Bekker, E. J. Dijkstra Delay-Insensitive Synchronization on a Message-Passing Architecture with an Open Collector Bus. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF delay-insensitive synchronization, open collector bus, high latency, constraint algorithm, SHAKE, Constraint Molecular Dynamics simulation, ring architecture, delay insensitive algorithm, performance evaluation, performance, parallel algorithms, parallel algorithms, parallel architectures, message passing, multiprocessor interconnection networks, multiprocessor interconnection networks, synchronisation, digital simulation, physics computing, system buses, communication time, message passing architecture
18Anjan K. Venkatramani, Timothy Mark Pinkston, José Duato Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF deadlock-free adaptive wormhole routing, Disha Concurrent, central buffers, sequential recovery, resource cost, mutual exclusive access, deadlock-free lane, tree-based networks, performance evaluation, parallel architectures, fault tolerant computing, concurrency control, multiprocessor interconnection networks, multiprocessor interconnection networks, network routing, system recovery, edge, Token, Hamiltonian path, deadlock recovery, k-ary n-cube networks
18Bülent Abali, Craig B. Stunkel Time synchronization on SP1 and SP2 parallel systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SP2 parallel system, SP1 parallel system, experimental time utility, operating system clocks, node clocks, synchronous feature, parallel program performance measurement, parallel program tuning, parallel program tracing, parallel program debugging, parallel processes, interconnection network, multiprocessor interconnection networks, multiprocessor interconnection networks, parallel machines, parallel machines, synchronisation, synchronisation, processor scheduling, processor scheduling, software performance evaluation, software performance evaluation, program debugging, program debugging, clocks, clocks, operating systems (computers), operating systems (computers), time synchronization, gang scheduling, reduced instruction set computing, reduced instruction set computing
18Richard Games, Arkady Kanevsky, Peter C. Krupp, Leonard Monk Real-time communications scheduling for massively parallel processors. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time communications scheduling, computationally intensive, lifecycle costs, large-scale scientific computing, software challenges, processing nodes, real-time application benchmarks, scheduling, performance evaluation, fault tolerance, real-time systems, parallel processing, multiprocessor interconnection networks, multiprocessor interconnection networks, real-time scheduling, processor scheduling, real-time applications, massively parallel processors, multi-level security
18Si-Qing Zheng Compressed Tree Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF modified tree architecture, compressed tree machine, cost/performance trade-off, multiprocessor parallel computer, parallel processing, parallel architectures, multiprocessor interconnection networks, trees (mathematics), tree structure, VLSI layout, parallel computer architecture
18Annette Lagman, Walid A. Najjar, Pradip K. Srimani An Analysis of Edge Fault Tolerance in Recursively Decomposable Regular Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF edge fault tolerance, recursively decomposable, edge failures, fault tolerance measures, restricted resilience, probabilistic fault tolerance measures, reliability, interconnection networks, fault tolerant computing, multiprocessor interconnection networks, network topology, network topologies, resilience, topological properties, regular networks, probabilistic measures, large scale multiprocessor
18Gustavo D. Pifarré, Luis Gravano, Sergio A. Felperin, Jorge L. C. Sanz Fully Adaptive Minimal Deadlock-Free Packet Routing in Hypercubes, Meshes, and other Networks: Algorithms and Simulations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF adaptive minimal deadlock-free packet routing, virtual cut-through routing models, simulations, algorithms, concurrency control, message passing, hypercubes, multiprocessor interconnection networks, multiprocessor interconnection networks, meshes, packet switching, parallel machines, parallel machines, routing algorithms, deadlock, packet routing, shuffle-exchange, minimal paths, two-dimensional mesh
18Philip J. Bernhard, Daniel J. Rosenkrantz Partitioning Message Patterns for Bundled Omega Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF bundled omega networks, communication conflict, conflicting messages, interconnection links, on-line heuristics, computational complexity, interconnection network, heuristic, multiprocessor, partitioning, NP-complete, multiprocessor interconnection networks, communication complexity, telecommunication network routing, message routing, message patterns, performance ratio
18Khaled Day, Anand R. Tripathi Embedding of Cycles in Arrangement Graphs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cycles embeddings, large multiprocessor systems, node disjoint cycles, multiprocessor interconnection networks, Hamiltonian cycles, interconnection topology, arrangement graphs
18Barry Wilkinson Comments on "Design and Analysis of Arbitration Protocols". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF arbitration protocols, single-bus multiprocessor, simulation, protocols, multiprocessor interconnection networks, access time
18Ming-Syan Chen, Kang G. Shin, Dilip D. Kandlur Addressing, Routing, and Broadcasting in Hexagonal Mesh Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF hexagonal mesh multiprocessors, six-regular graphs, H-meshes, square meshes, routing, graph theory, broadcasting, hypercubes, multiprocessor interconnection network, multiprocessor interconnection networks, trees, addressing
18Jyh-Charn Liu, Kang G. Shin Polynomial Testing of Packet Switching Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF polynomial testing, multiple stuck-at fault model, functional testing method, network level, switch level, network-level testing, built-in tester, multiprocessor interconnection networks, packet switching, multiprocessor systems, automatic testing, polynomials, multistage interconnection networks, packet switching networks, routing dynamic
18Anujan Varma, Cauligi S. Raghavendra Fault-Tolerant Routing in Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF k-stage shuffle/exchange networks, fault tolerant computing, multiprocessor interconnection networks, multiprocessor systems, multistage interconnection networks, multiple faults, fault tolerant routing, processing elements, Omega network
18Dalibor F. Vrsalovic, Daniel P. Siewiorek, Zary Segall, Edward F. Gehringer Performance Prediction and Calibration for a Class of Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF predicting multiprocessor performance, experimental measurements, performance evaluation, parallel algorithms, interconnection network, parallel architectures, multiprocessor interconnection networks, iterative methods, calibration, performance prediction, iterative algorithms, access time, processing time
18Shing-Tsaan Huang, Satish K. Tripathi Self-Routing Technique in Perfect-Shuffle Networks Using Control Tags. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF unscrambling, control tags, self-routing technique, multiple-pass perfect-shuffle networks, bit-permute-complement permutations, inverse-omega-realizing sets, computational complexity, computational complexity, multiprocessor interconnection networks, multiprocessor interconnection networks, set theory, permutation networks, set intersections
18Patrick W. Dowd, Kamal Jabbour Spanning Multiaccess Channel Hypercube Computer Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiaccess channel, computer interconnection, processor interconnection, distance characteristics, parallel architectures, fault tolerant computing, multiprocessor interconnection networks, multiprocessor system, fault-tolerant system, distributed computer system, packet delay, hypercube topology
18Daniel A. Reed, Loyce M. Adams, Merrell L. Patrick Stencils and Problem Partitionings: Their Influence on the Performance of Multiple Processor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF performance modeling, shared memory multiprocessor, partial differential equations, hypercube multiprocessor, Communication analysis
15David P. Bunde Power-aware scheduling for makespan and flow. Search on Bibsonomy J. Sched. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Total flow, Dynamic voltage scaling, Makespan, Power-aware scheduling, Speed scaling
15Ndapandula Nakashole, Hussein Suleman A Hybrid Distributed Architecture for Indexing. Search on Bibsonomy ECDL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Kunal Agrawal, Charles E. Leiserson, Yuxiong He, Wen-Jing Hsu Adaptive work-stealing with parallelism feedback. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instantaneous parallelism, parallelism feedback, trim analysis, parallel computation, randomized algorithm, job scheduling, multiprogramming, processor allocation, multiprocessing, work-stealing, Adaptive scheduling, work, thread scheduling, adversary, span, space sharing, two-level scheduling
15Andy J. Wellings Multiprocessors and the Real-Time Specification for Java. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessors, SMP, RTSJ
15John C. Linford, Adrian Sandu Optimizing large scale chemical transport models for multicore platforms. Search on Bibsonomy SpringSim The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IBM cell broadband engine, Intel quad-core xeon, time splitting, scalability, multicore, domain decomposition, chemical transport model
15Ahsan Shabbir, Akash Kumar 0001, Bart Mesman, Henk Corporaal Enabling MPSoC Design Space Exploration on FPGAs. Search on Bibsonomy IMTIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, MPSoC, FIFO, FSL
15Meikang Qiu, Zhiping Jia, Chun Xue, Zili Shao, Edwin Hsing-Mean Sha Voltage Assignment with Guaranteed Probability Satisfying Timing Constraint for Real-time Multiproceesor DSP. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time, probability, DSP, DVS, assignment
15Jingui Huang, Jianer Chen, Songqiao Chen, Jianxin Wang 0001 A simple linear time approximation algorithm for multi-processor job scheduling on four processors. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-processor job scheduling, Approximation algorithm, NP-hard problem
15David Goodwin, Chris Rowen, Grant Martin Configurable Multi-Processor Platforms for Next Generation Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hristo Nikolov, Todor P. Stefanov, Ed F. Deprettere Efficient External Memory Interface for Multi-processor Platforms Realized on FPGA Chips. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai PROToFLEX: FPGA-accelerated Hybrid Functional Simulator. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Greg Stitt, Frank Vahid Thread warping: a framework for dynamic synthesis of thread accelerators. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic synthesis, thread warping, warp processing, FPGA, synthesis, multi-core, threads, just-in-time compilation
15Yuan Lin 0002, Manjunath Kudlur, Scott A. Mahlke, Trevor N. Mudge Hierarchical coarse-grained stream compilation for software defined radio. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MPSoC compilation, dataflow programming model, software defined radio, modulo scheduling
15Awni Itradat, M. Omair Ahmad, Ali M. Shatnawi Architectural Synthesis of DSP Applications with Dynamically Reconfigurable Functional Units. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yurong Chen 0001, Ying Tan, Yimin Zhang 0002, Carole Dulong Performance Analysis of Two Parallel Game-Tree Search Applications. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chaiyasit Manovit, Sudheendra Hangal Completely verifying memory consistency of test program executions. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Minoru Fujishima, Masahiro Shimura On-chip high-speed solver of inverse problems based on quantum-computing principle. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kunal Agrawal, Yuxiong He, Charles E. Leiserson An Empirical Evaluation ofWork Stealing with Parallelism Feedback. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15David P. Bunde Power-aware scheduling for makespan and flow. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, dynamic voltage scaling, power-aware scheduling, speed scaling
15Giorgio Calarco, Carla Raffaelli, Giovanni Schembra, Giovanni Tusa Comparative Analysis of SMP Click Scheduling Techniques. Search on Bibsonomy QoS-IP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Youtao Zhang, Lan Gao, Jun Yang 0002, Xiangyu Zhang 0001, Rajiv Gupta 0001 SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Armando Ferro, Igor Delgado, Alejandro Muñoz, Fidel Liberal An Analytical Model for Performance Evaluation of Network Traffic Analysis Systems. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Reactive Spin-locks: A Self-tuning Approach. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tulika Mitra, Abhik Roychoudhury, Qinghua Shen Impact of Java Memory Model on Out-of-Order Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Faith E. Fich, Danny Hendler, Nir Shavit On the inherent weakness of conditional synchronization primitives. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF load-linked, store-conditional, test-and-set, lower bounds, compare-and-swap, wait-freedom, synchronization primitives
15Sanjoy K. Baruah, Joël Goossens Rate-Monotonic Scheduling on Uniform Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Uniform multiprocessors, periodic tasks, global scheduling, rate-monotonic algorithm, static priorities
15Shaz Qadeer Verifying Sequential Consistency on Shared-Memory Multiprocessors by Model Checking. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF specifying and verifying and reasoning about programs, model checking, verification, multiprocessors, Logic design
15Martin Karlsson, Kevin E. Moore, Erik Hagersten, David A. Wood 0001 Memory System Behavior of Java-Based Middleware. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sangman Moh, Jae-Hong Shim, Yang-Dong Lee, Jeong-A Lee, Beom-Joon Cho Design and Evaluation of a Cache Coherence Adapter for the SMP Nodes Interconnected via Xcent-Net. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin Quantifying instruction criticality for shared memory multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory multiprocessors, slack, critical path analysis
15Kwei-Jay Lin, Yu-Chung Wang, Ting-Hua Chien, Yaa-Jyun Yeh Designing Multimedia Applications on Real-Time Systems with SMP Architecture. Search on Bibsonomy ISMSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Jianer Chen, Jingui Huang Semi-normal Schedulings: Improvement on Goemans' Algorithm. Search on Bibsonomy ISAAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Shelby H. Funk, Joël Goossens, Sanjoy K. Baruah On-Line Scheduling on Uniform Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Björn Andersson, Sanjoy K. Baruah, Jan Jonsson Static-Priority Scheduling on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Paolo Gai, Giuseppe Lipari, Marco Di Natale Minimizing Memory Utilization of Real-Time Task Sets in Single and Multi-Processor Systems-on-a-Chip. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Xiaotie Deng, Horace Ho-Shing Ip, Ken C. K. Law, Jianping Li, Weimin Zheng, Shanfeng Zhu Parallel Models and Job Characterization for System Scheduling. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Donald Yeung, John Kubiatowicz, Anant Agarwal Multigrain shared memory. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF symmetric multiprocessors, distributed memory, system of systems
15Jingui Huang, Jianer Chen, Songqiao Chen A Simple Linear-Time Approximation Algorithm for Multi-processor Job Scheduling on Four Processors. Search on Bibsonomy ISAAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Yongwha Chung, K. Park, W. Hahn, Neungsoo Park, Viktor K. Prasanna Performance of On-Chip Multiprocessors for Vision Tasks. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Kazuhisa Ishizaka, Motoki Obata, Hironori Kasahara Coarse-Grain Task Parallel Processing Using the OpenMP Backend of the OSCAR Multigrain Parallelizing Compiler. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Frank Liberato, Sylvain Lauzac, Rami G. Melhem, Daniel Mossé Fault tolerant real-time global scheduling on multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15David R. Helman, Joseph F. JáJá Prefix Computations on Symmetric Multiprocessors. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license