The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for parallelism with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1973 (16) 1974-1975 (16) 1976-1977 (16) 1978 (20) 1979-1980 (25) 1981 (17) 1982 (24) 1983-1984 (29) 1985 (45) 1986 (62) 1987 (71) 1988 (127) 1989 (156) 1990 (197) 1991 (159) 1992 (168) 1993 (198) 1994 (214) 1995 (242) 1996 (320) 1997 (230) 1998 (193) 1999 (302) 2000 (309) 2001 (310) 2002 (346) 2003 (423) 2004 (484) 2005 (516) 2006 (574) 2007 (609) 2008 (619) 2009 (533) 2010 (286) 2011 (244) 2012 (232) 2013 (213) 2014 (220) 2015 (215) 2016 (231) 2017 (196) 2018 (227) 2019 (213) 2020 (240) 2021 (236) 2022 (217) 2023 (243) 2024 (46)
Publication types (Num. hits)
article(2418) book(15) incollection(67) inproceedings(8103) phdthesis(185) proceedings(41)
Venues (Conferences, Journals, ...)
SPAA(1039) CoRR(276) IPDPS(229) Euro-Par(189) IEEE Trans. Parallel Distribut...(167) MICRO(128) PPoPP(121) ICS(114) IEEE Trans. Computers(113) SC(109) ISCA(106) J. Instr. Level Parallelism(105) ICPP(103) LCPC(101) IWOMP(98) PaCT(94) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9651 occurrences of 3359 keywords

Results
Found 10829 publication records. Showing 10829 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Nihar R. Mahapatra, Jiangjiang Liu 0002, Krishnan Sundaresan, Srinivas Dangeti, Balakrishna V. Venkatrao A Limit Study on the Potential of Compression for Improving Memory System Performance, Power Consumption, and Cost. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2005 DBLP  BibTeX  RDF
20Chris Wilkerson, Jared Stark Guest Editors Introduction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2005 DBLP  BibTeX  RDF
20André Seznec Genesis of the O-GEHL Branch Predictor. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2005 DBLP  BibTeX  RDF
20Daniel A. Jiménez Idealized Piecewise Linear Branch Prediction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2005 DBLP  BibTeX  RDF
20Romain Dolbeau, André Seznec CASH: Revisiting Hardware Sharing in Single-Chip Parallel Processors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Diego Puppin, Mark Stephenson, Saman P. Amarasinghe Convergent Scheduling. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Lu Peng 0001, Jih-Kwon Peir, Konrad Lai A New Address-Free Memory Hierarchy Layer for Zero-Cycle Load. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Harold W. Cain, Mikko H. Lipasti, Ravi Nair Constraint Graph Analysis of Multithreaded Programs. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Abdel-Hameed A. Badawy, Aneesh Aggarwal, Donald Yeung, Chau-Wen Tseng The Efficacy of Software Prefetching and Locality Optimizations on Future Memory Systems. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Toshiaki Yasue, Toshio Suganuma, Hideaki Komatsu, Toshio Nakatani Structural Path Profiling: An Efficient Online Path Profiling Framework for Just-In-Time Compilers. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Volker Strumpen, Henry Hoffmann, Anant Agarwal Stream Algorithms and Architecture. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Jiwei Lu, Howard Chen 0002, Pen-Chung Yew, Wei-Chung Hsu Design and Implementation of a Lightweight Dynamic Optimization System. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Phuong Hoai Ha, Philippas Tsigas Reactive Multi-word Synchronization for Multiprocessors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Dong-yuan Chen, Lixia Liu, Roy Dz-Ching Ju, Chen Fu, Shuxin Yang, Chengyong Wu Efficient Modeling of Itanium Architecture during Instruction Scheduling using Extended Finite State Automata. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2004 DBLP  BibTeX  RDF
20Augustus K. Uht, David Morano, Alireza Khalafi, David R. Kaeli Levo - A Scalable Processor With High IPC. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Jaewook Shin, Jacqueline Chame, Mary W. Hall Exploiting Superword-Level Locality in Multimedia Extension Architectures. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Bharat Chandramouli, Wilson C. Hsieh, John B. Carter, Sally A. McKee A Cost Model For Integrated Restructuring Optimizations. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Gabriel H. Loh, Dana S. Henry, Arvind Krishnamurthy Exploiting Bias in the Hysteresis Bit of 2-bit Saturating Counters in Branch Predictors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Gabriel H. Loh Width-Partitioned Load Value Predictors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Daniel G. Chavarría-Miranda, John M. Mellor-Crummey An Evaluation of Data-Parallel Compiler Support for Line-Sweep Applications. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Yiannakis Sazeides Instruction-Isomorphism in Program Execution. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Lin Li 0002, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam Managing Leakage Energy in Cache Hierarchies. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20M. Anton Ertl, David Gregg The Structure and Performance of Efficient Interpreters. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Kazuaki Ishizaki, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Eliminating Exception Constraints of Java Programs for IA-64. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Gautham Thambidorai, Donald Yeung, Seungryul Choi Optimizing SMT Processors for High Single-Thread Performance. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Chris Gniady, Babak Falsafi Speculative Sequential Consistency with Little Custom Storage. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Lieven Eeckhout, Hans Vandierendonck, Koenraad De Bosschere Quantifying the Impact of Input Data Sets on Program Behavior and its Applications. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2003 DBLP  BibTeX  RDF
20Soner Önder, Rajiv Gupta 0001 Dynamic Memory Disambiguation in the Presence of Out-of-order Store Issuing. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2002 DBLP  BibTeX  RDF
20Mark Oskin, Frederic T. Chong, Matthew K. Farrens Using Statistical and Symbolic Simulation for Microprocessor Performance Evaluation. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2002 DBLP  BibTeX  RDF
20Zhao Zhang 0010, Zhichun Zhu, Xiaodong Zhang 0001 Breaking Address Mapping Symmetry at Multi-levels of Memory Heirarchy to Reduce DRAM Row-buffer Conflicts. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Michael C. Huang 0001, Jose Renau, Seung-Moon Yoo, Josep Torrellas The Design of DEETM: a Framework for Dynamic Energy Efficiency and Temperature Management. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20F. Jesús Sánchez, Antonio González 0001 Clustered Modulo Scheduling in a VLIW Architecture with Distributed Cache . Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Hsien-Hsin S. Lee, Gary S. Tyson, Matthew K. Farrens Improving Bandwidth Utilization using Eager Writeback. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Amir Roth, Gurindar S. Sohi Squash Reuse via a Simplified Implementation of Register Integration. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Peter Rundberg, Per Stenström An All-Software Thread-Level Data Dependence Speculation System for Multiprocessors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Michael S. Schlansker, Chris J. Newburn Guest Editors' Introduction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
20Andrew Wolfe, Derek B. Noonburg A Superscalar 3D Graphics Engine. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20David H. Albonesi Selective Cache Ways: On-Demand Cache Resource Allocation. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Teresa Monreal, Antonio González 0001, Mateo Valero, José González 0002, Víctor Viñals Dynamic Register Renaming Through Virtual-Physical Registers. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Andreas Moshovos, Gurindar S. Sohi Memory Dependence Prediction in Multimedia Applications. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Serap A. Savari, Cliff Young Comparing and Combining Profiles. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Robert S. Cohn, P. Geoffrey Lowney Design and Analysis of Profile-Based Optimization in Compaq's Compilation Tools for Alpha. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Kevin Skadron, Margaret Martonosi, Douglas W. Clark Speculative Updates of Local and Global Branch History: A Quantitative Analysis. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Jay Bharadwaj, Kishore N. Menezes, Chris McKinsey Wavefront Scheduling: Path Based Data Representation and Scheduling of Subgraphs. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Zheng Wang, Ken Pierce, Scott McFarling BMAT - A Binary Matching Tool for Stale Profile Propagation. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Eric Rotenberg, James E. Smith 0001 Control Independence in Trace Processors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Ilan Y. Spillinger, Chris J. Newburn Guest Editors' Introduction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Todd M. Austin DIVA: A Dynamic Approach to Microprocessor Verification. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20Brad Calder, Glenn Reinman A Comparative Survey of Load Speculation Architectures. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
20John Kalamatianos, David R. Kaeli Indirect Branch Prediction Using Data Compression Techniques. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Matt Postiff, Gary S. Tyson, Trevor N. Mudge Performance Limits of Trace Caches. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Martin Burtscher, Benjamin G. Zorn Prediction Outcome History-Based Confidence Estimation for Load Value Prediction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Jan Hoogerbrugge, Lex Augusteijn Instruction Scheduling for TriMedia. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Brad Calder, Peter Feller, Alan Eustace Value Profiling and Optimization. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Srikanth T. Srinivasan, Alvin R. Lebeck Load Latency Tolerance in Dynamically Scheduled Processors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
20Hongyang Sun 0001, Yangjie Cao, Wen-Jing Hsu Efficient Adaptive Scheduling of Multiprocessors with Stable Parallelism Feedback. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF control-theoretic analysis, malleable parallel jobs, nonclairvoyant scheduling, parallelism feedback, stability, multiprocessors, competitive analysis, Adaptive scheduling, two-level scheduling
20David Camp, Christoph Garth, Hank Childs, David Pugmire, Kenneth I. Joy Streamline Integration Using MPI-Hybrid Parallelism on a Large Multicore Architecture. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF modes of computation, parallel programming, Concurrent programming, display algorithms, picture/image generation, parallelism and concurrency
20Angela C. Sodan, Jacob Machina, Arash Deshmeh, Kevin Macnaughton, Bryan Esbaugh Parallelism via Multithreaded and Multicore CPUs. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Multithreaded cores, Heterogeneous cores, Application-level parallelism, Chip interconnects, GPUs, Multicore processors, Power efficiency
20Yadi Ma, Suman Banerjee 0001, Shan Lu 0001, Cristian Estan Leveraging parallelism for multi-dimensional packetclassification on software routers. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF storm, parallelism, packet classification
20Jian Tan, Wei Wei 0001, Bo Jiang 0003, Ness B. Shroff, Donald F. Towsley Can multipath mitigate power law delays?: effects of parallelism on tail performance. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF redundant transmission, split transmission, parallelism, power law, multipath
20Takamichi Nishijima, Hiroyuki Ohsaki, Yoshihiro Nomoto, Makoto Imase Performance Evaluation of Block Device Layer with Automatic Parallelism Tuning Using Heterogeneous IP-SAN Protocols. Search on Bibsonomy SAINT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Automatic Parallelism Tuning (APT), IP-based Storage Area Network (IP-SAN), block device layer, long-fat networks
20Charlie Brej Wagging Logic: Implicit Parallelism Extraction Using Asynchronous Methodologies. Search on Bibsonomy ACSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wagging, parallelism, concurrency, Asynchronous
20Yanning Du, Yinliang Zhao, Bo Han 0005, Yuancheng Li Optimistic Parallelism Based on Speculative Asynchronous Messages Passing. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Speculative thread-level parallelism, speculative asynchronous message passing, object versioning
20Guy L. Steele Jr., Vijay A. Saraswat Curricula for concurrency and parallelism. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, concurrency, multicore, curricula
20Seong-Pyo Hong, Moon-Su Jung, Il-Yong Chung Improving Scalability for RFID Privacy Protection Using Parallelism. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RFID privacy, RFID Authentication, Scalability, Parallelism
20Jingling Xue, Minyi Guo, Daming Wei Improving the parallelism of iterative methods by aggressive loop fusion. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallelism, Data dependence, Cache locality, Loop fusion
20Mohamed F. Ahmed, Reda A. Ammar, Sanguthevar Rajasekaran SPENK: adding another level of parallelism on the cell broadband engine. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded parallelism, micro-threads, cell broadband engine
20Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
20Michael L. Chu, Scott A. Mahlke Code and data partitioning for fine-grain parallelism. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code and data partitioning, multicore compilation, fine-grain parallelism
20Jue Wang 0013, Changjun Hu, Jianjiang Li Contention-Free Communication Scheduling for Group Communication in Data Parallelism. Search on Bibsonomy OTM Conferences (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Distributed memory multi-computers, Group communication, Parallel compiling, Data parallelism, Communication scheduling
20Horacio González-Vélez, Murray Cole Adaptive structured parallelism for computational grids. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel language constructs, structured parallelism, patterns, computational grids, algorithmic skeletons
20Amadeo Casas, Manuel Carro, Manuel V. Hermenegildo Towards a high-level implementation of flexible parallelism primitives for symbolic languages. Search on Bibsonomy PASCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallelism, logic programming, symbolic computation
20Benoît Hudson, Gary L. Miller, Todd Phillips Sparse parallel Delaunay mesh refinement. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF computational geometry, mesh generation, shared-memory parallelism
20Ernie Chan, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí, Robert A. van de Geijn Supermatrix out-of-order scheduling of matrix operations for SMP and multi-core architectures. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data affinity, data-flow parallelism, dense linear algebra libraries, dynamic scheduling, out-of-order execution
20Guangming Tan, Shengzhong Feng, Ninghui Sun Biology - Locality and parallelism optimization for dynamic programming algorithm in bioinformatics. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallelism, dynamic programming, locality, tiling, cache-oblivious
20Sid Ahmed Ali Touati Register Saturation in Instruction Level Parallelism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Register requirement, instruction level parallelism, integer linear programming, optimizing compilation, register pressure
20Phu V. Luong, Clay P. Breshears, Le N. Ly Application of Multiblock Grid and Dual-Level Parallelism in Coastal Ocean Circulation Modeling. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Princeton Ocean Model, dual-level parallelism, multiblock grid, Persian Gulf simulation, coastal ocean circulation model
20Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Adapting instruction level parallelism for optimizing leakage in VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply gating, instruction level parallelism, instruction scheduling, VLIW architecture, leakage energy, functional units
20Adnan Aziz, Amit Prakash, Vijaya Ramachandran A near optimal scheduler for switch-memory-switch routers. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF schedulers, parallelism, matching, randomization, routers
20Jens Gustedt Randomized permutations in a coarse grained parallel environment. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF uniformly generated communication matrix, coarse grained parallelism, randomized permutations
20Manuel Díaz, Bartolomé Rubio, Enrique Soler, José M. Troya DIP: a pattern-based approach for task and data parallelism integration. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF pattern reusability, task and data parallelism integration, coordination patterns
20Sekhar Darbha, Santosh Pande A Robust Compile Time Method for Scheduling Task Parallelism on Distributed Memory Machines. Search on Bibsonomy J. Supercomput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cost Variations, Robustness, Scheduling Algorithms, Directed Acyclic Graph, Distributed Memory Machines, task Parallelism
20Meng-chou Chang, Feipei Lai Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel boosting, shadow register file, conjugate register file, scheduling-conflict graph, Instruction-level parallelism, speculative execution, superscalar processors
20Jens Knoop, Bernhard Steffen, Jürgen Vollmer 0001 Parallelism for Free: Efficient and Optimal Bitvector Analyses for Parallel Programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF assignment motion, bitvector problems, definition-use chains, partial dead-code elimination, parallelism, synchronization, shared memory, data flow analysis, program optimization, code motion, strength reduction, interleaving semantics
20Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches
20Philippe Moravie, Hassane Essafi, C. Lambert-Nebout, J.-L. Basille Real-Time Image Compression Using Data-Parallelism. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Wavelet Transform, Image Compression, Vector Quantization, Data-Parallelism, Huffman Coding
20Laurence D. Merkle, Gary B. Lamont An initial analysis of data parallelism in the fast messy genetic algorithm. Search on Bibsonomy SAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, data parallelism
20Isambo Karali, Constantin Halatsis Abstract data type support in Prolog and its relation to parallelism. Search on Bibsonomy SAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF preprocessor based systems, Prolog, Prolog, abstract data types, data-parallelism, state
20Shahram Ghandeharizadeh, Luis Ramos Continuous Retrieval of Multimedia Data Using Parallelism. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF continuous retrieval, parallel multimedia information system, information retrieval, parallelism, delays, database management systems, multimedia systems, simulation model, multimedia data, disk drives, processing capability
20M. Rajagopalan, Vicki H. Allan Efficient scheduling of fine grain parallelism in loops. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF scheduling, Petri nets, software pipelining, loop optimization, fine-grain parallelism
20Norman P. Jouppi The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine performance, first-order estimate, machine parallelism, instruction-level, machine pipelines, MultiTitan, superscalar machine, performance evaluation, parallel architectures, pipeline processing, CRAY-1
20Rakesh Agrawal 0001, H. V. Jagadish Partitioning Techniques for Large-Grained Parallelism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiprocessing environments, large-grained parallelism, model, parallel processing, parallel processing, loosely coupled, optimal partition
20Alexandru Nicolau, Joseph A. Fisher Measuring the Parallelism Available for Very Long Instruction Word Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF VLIW (very long instruction word) architectures, Memory antialiasing, parallelism, multiprocessors, microcode, trace scheduling
20Gary E. Barnes Comments on "The Identification of Maximal Parallelism in Straight-Line Microprograms". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF straight-line microprograms, Horizontal microprograms, microinstructions, optimization, parallelism
20Hartmut Ehrig, Hans-Jörg Kreowski Parallelism of Manipulations in Multidimensional Information Structures. Search on Bibsonomy MFCS The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Multidimensional Information Structures, Canonical Derivation Sequences, Applications of Category Theory, Parallelism, Graph Grammars, Church-Rosser-Properties
19Walter Yuan-Hwa Li, Chin-Ling Huang, Chung-Ping Chung Tolerating Load Miss-Latency by Extending Effective Instruction Window with Low Complexity. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Execute Ahead, Hardware Speculation, Instruction-Level Parallelism, Processor Architecture, Memory-Level Parallelism, Runahead Execution
19Yang-Ming Zhu, Steven M. Cochoff Medical Image Viewing on Multicore Platforms Using Parallel Computing Patterns. Search on Bibsonomy IT Prof. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel programming software patterns, pipeline, multicore, data parallelism, task parallelism, task decomposition, data decomposition
19Marc Tajchman Programming Experiences Using the X10 Language. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Distributed computing, software, scientific computing, data parallelism, task parallelism, global addressing space
19Petr Krajca, Vilém Vychodil Software transactional memory for implicitly parallel functional language. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF functional programming, scheme, data parallelism, software transactional memory, implicit parallelism
19Petr Krajca, Vilém Vychodil Data parallel dialect of scheme: outline of the formal model, implementation, performance. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF functional programming, scheme, data parallelism, pushdown automata, implicit parallelism
Displaying result #601 - #700 of 10829 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license