The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Basant K. Mohanty, Pramod Kumar Meher Throughput-scalable hybrid-pipeline architecture for multilevel lifting 2-D DWT of JPEG 2000 coder. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Emmanuel Touloupis, James A. Flint, Vassilios A. Chouliaras, David D. Ward Study of the Effects of SEU-Induced Faults on a Pipeline Protected Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault modeling and simulation, fault tolerance, fault injection, soft error, SEU, microprocessor test
14Welson Sun, Michael J. Wirthlin, Stephen Neuendorffer FPGA Pipeline Synthesis Design Exploration Using Module Selection and Resource Sharing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Xiaogang Dong, Peter Majewicz, Gordon McNutt, Charles A. Bouman, Jan P. Allebach, Ilya Pollak A Document Page Classification Algorithm in Copy Pipeline. Search on Bibsonomy ICIP (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Suhyun Kim, Soo-Mook Moon Rotating Register Allocation for Enhanced Pipeline Scheduling. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Michael Hinz, Stefan Pietschmann, Matthias Umbach, Klaus Meißner Adaptation and Distribution of Pipeline-Based Context-Aware Web Architectures. Search on Bibsonomy WICSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tomas Ágoston, Csaba Csuprai, Juraj Onderik, Roman Durikovic Design of Modular Rendering Pipeline. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Melissa Cefkin, Jakita Owensby Thomas, Jeanette Blomberg The implications of enterprise-wide pipeline management tools for organizational relations and exchanges. Search on Bibsonomy GROUP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF enterprise-wide technologies, organizational relations, sales operations, ethnography, business processes, work practices, information exchange
14Dang Ba Khac Trieu, Tsutomu Maruyama A Pipeline Implementation of a Watershed Algorithm on FPGA. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Edgar C. Portante, Brian A. Craig, Stephen M. Folga NGFAST: a simulation model for rapid assessment of impacts of natural gas pipeline breaks and flow reductions at U.S. state borders and import points. Search on Bibsonomy WSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Chen Yu 0005, Dan C. Marinescu, John P. Morrison, Brian C. Clayton, David A. Power An Automated Data Processing Pipeline for Virus Structure Determination at High Resolution. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Janusz A. Starzyk, Mingwei Ding, Yinyin Liu Hybrid Pipeline Structure for Self-Organizing Learning Array. Search on Bibsonomy ISNN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andrea Fusiello, Luca Irsara An Uncalibrated View-Synthesis Pipeline. Search on Bibsonomy ICIAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Francesco Centurelli, Pietro Monsurrò, Alessandro Trifiletti A distortion model for pipeline Analog-to-Digital converters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Improved Background Algorithms for Pipeline ADC Full Calibration. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Behnam Sedighi, Mehrdad Sharif Bakhtiar An 8-bit 300MS/s Switched-Current Pipeline ADC in 0.18µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Gholamreza Nikandish, Behnam Sedighi, Mehrdad Sharif Bakhtiar Performance Comparison of Switched-Capacitor and Switched-Current Pipeline ADCs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Behnam Sedighi, Mehrdad Sharif Bakhtiar An 8-bit Switched-Resistor Pipeline ADC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14John A. McNeill, Sanjeev Goluguri, Abhilash Nair "Split-ADC" Digital Background Correction of Open-Loop Residue Amplifier Nonlinearity Errors in a 14b Pipeline ADC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Osama Daifallah Al-Khaleel, Christos A. Papachristou, Francis G. Wolff, Kiamal Z. Pekmestzi An Elliptic Curve Cryptosystem Design Based on FPGA Pipeline Folding. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Reen-Cheng Wang, Su-Ling Wu, Ruay-Shiung Chang Enhancing Data Replication with Greedy Pipeline-Based Aggressive Copy Protocol in Data Grids. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Mitsunori Kubo, Baoliu Ye, Arata Shinozaki, Minyi Guo UMP-PerComp: A Ubiquitous Multiprocessor Network-Based Pipeline Processing Framework for Pervasive Computing Environments. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Joachim Georgii, Rüdiger Westermann A Generic and Scalable Pipeline for GPU Tetrahedral Grid Rendering. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable graphics hardware, unstructured grids, Direct volume rendering
14Patric Ljung, Calle Winskog, Anders Persson, Claes Lundström, Anders Ynnerman Full Body Virtual Autopsies using a State-of-the-art Volume Rendering Pipeline. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF autopsies, volume rendering, Forensics, medical visualization, large scale data
14M. Ali Akcayol 0001 Application of Fuzzy Logic Controlled Cathodic Protection on Iraq-Turkey Crude Oil Pipeline. Search on Bibsonomy Appl. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cathodic protection, fuzzy control, microcontroller
14Vahid Majidzadeh, Omid Shoaei Arbitrary design of high order noise transfer function for a novel class of reduced-sample-rate sigma-delta-pipeline ADCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Patrick May, Hans-Christian Ehrlich, Thomas Steinke 0001 ZIB Structure Prediction Pipeline: Composing a Complex Biological Workflow Through Web Services. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Mark A. Franklin, Eric J. Tyson, James H. Buckley, Patrick Crowley, John Maschmeyer Auto-pipe and the X language: a pipeline design tool and description language. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Dong-Ik Ko, Shuvra S. Bhattacharyya The pipeline decomposition tree: : an analysis tool for multiprocessor implementation of image processing applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design space exploration, multiprocessor scheduling, system-level models
14Gerhard Lienhart, Guillermo Marcus Martinez, Andreas Kugel, Reinhard Männer Rapid Design of Special-Purpose Pipeline Processors with FPGAs and its Application to Computational Fluid Dynamics. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jian Feng 0001, Huaguang Zhang Algorithm of Pipeline Leak Detection Based on Discrete Incremental Clustering Method. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Alexander A. Petrovsky, Sergei L. Shkredov Automatic Generation of Split-Radix 2-4 Parallel-Pipeline FFT Processors: Hardware Reconfiguration and Core Optimizations. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Weihua Zhang, Tao Bao, Binyu Zang, Chuanqi Zhu Data Pipeline Optimization for Shared Memory Multiple-SIMD Architecture. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Luca Picolli, Franco Maloberti, Andrea Rossini, Fausto Borghetti, Piero Malcovati, Andrea Baschirotto A 10-bit pipeline A/D converter without timing signals. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Hamid Charkhkar, Alireza Asadi, Reza Lotfi A 1.8V, 10-bit, 40MS/s MOSFET-only pipeline analog-to-digital converter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Kuan Zhou, Yifei Luo, Sizhong Chen, Allen Drake, John F. McDonald 0001, Tong Zhang 0002 Triple-rail MOS current mode logic for high-speed self-timed pipeline applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Statistical analysis of a background correlation-based technique for full calibration of pipeline ADCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Olujide A. Adeniran, Andreas Demosthenous A 19.5mW 1.5V 10-bit pipeline ADC for DVB-H systems in 0.35µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Chris Thorne Error Minimising Pipeline for Hi-Fidelity, Scalable Geospatial Simulation. Search on Bibsonomy CW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Michael I. Gordon, William Thies, Saman P. Amarasinghe Exploiting coarse-grained task, data, and pipeline parallelism in stream programs. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Raw, coarse-grained dataflow, streams, multicore, software pipelining, StreamIt
14Kyung-Hoon Lee, Young-Jae Cho, Hee-Cheol Choi, Yong-Hyun Park, Doo-Hwan Sa, Young-Lok Kim, Seung-Hoon Lee A 14b 100MS/s 3.4mm2 145mW 0.18um CMOS Pipeline A/D Converter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Gholamreza Nikandish, Behnam Sedighi, Mehrdad Sharif Bakhtiar INL Prediction Method in Pipeline ADCs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Juan R. Cebral, Marcelo Adrián Castro, Sunil Appanaboyina, Christopher M. Putman, Daniel Millan, Alejandro F. Frangi Efficient pipeline for image-based patient-specific analysis of cerebral aneurysm hemodynamics: technique and sensitivity. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Shanshan Li 0001, Xiangke Liao, Yusong Tan, Jin-Yuan Liu Dynamic Thread Management in Kernel Pipeline Web Server. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Babak Salamat, Amirali Baniasadi Area-Aware Pipeline Gating for Embedded Processors. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ludovic Barrandon, Samuel Crand, Dominique Houzet Systematic Figure of Merit Computation for the Design of Pipeline ADC. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Xiao Bing Huang, Jian Tang 0001 SPL: An Structured Pipeline Method for Frequent Remote Service Interactions in Bioinformatics Workflows. Search on Bibsonomy ICWS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Swapna S. Gokhale, Sherif M. Yacoub Performability Analysis of a Pipeline Software Architecture. Search on Bibsonomy COMPSAC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Eddy Caron, Frederic Desprez, Frédéric Suter Out-of-Core and Pipeline Techniques for Wavefront Algorithms. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Iain Bate, Ralf Dieter Reutemann Efficient Integration of Bimodal Branch Prediction and Pipeline Analysis. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Bruno Vaz, João Goes, R. Piloto, J. Neto, Rui Monteiro, Nuno Paulino 0002 A low-voltage 3 mW 10-bit 4MS/s pipeline ADC in digital CMOS for sensor interfacing. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Byung Geun Lee, Shouli Yan A new ratio-independent A/D conversion technique for high-resolution pipeline A/D converters. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Full calibration digital techniques for pipeline ADCs. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Jesús Ruiz-Amaya, José M. de la Rosa 0001, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez Behavioral modeling simulation and high-level synthesis of pipeline A/D converters. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Morteza Gholipour, Hamid Shojaee, Ali Afzali-Kusha, Ahmad Khademzadeh, Mehrdad Nourani An efficient model for performance analysis of asynchronous pipeline design methods. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Dongmahn Seo, Joahyoung Lee, Dongkook Kim, Yoon Kim, Inbum Jung An Effective Failure Recovery Mechanism with Pipeline Computing in Clustered-Based VOD Servers. Search on Bibsonomy PCM (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Artur Alves Pessoa Planning the Transportation of Multiple Commodities in Bidirectional Pipeline Networks. Search on Bibsonomy ISAAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14S. Sukhsawas, Khaled Benkrid A High-Level Implementation of a High Performance Pipeline FFT on Virtex-E FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Terje N. Andersen, Atle Briskemyr, Frode Telstø, Johnny Bjørnsen, Thomas E. Bonnerud, Bjørnar Hernes, Øystein Moldsvor A 97mW 110MS/s 12b Pipeline ADC Implemented in 0.18mum Digital CMOS. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Alexander A. Petrovsky, Sergei L. Shkredov Multi-Pipeline Implementations of Real-Time Vector DFT. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Peter G. Sassone, D. Scott Wills Dynamic Strands: Collapsing Speculative Dependence Chains for Reducing Pipeline Communication. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Carlos J. Tavares, C. Bungardean, G. M. Matos, José T. de Sousa Solving SAT with a Context-Switching Virtual Clause Pipeline and an FPGA Embedded Processor. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hongfeng Yu 0001, Kwan-Liu Ma, Joel Welling A Parallel Visualization Pipeline for Terascale Earthquake Simulations. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF massively parallel supercomputing, MPI, High-performance computing, volume rendering, scientific visualization, parallel I/O, parallel rendering, vector field visualization, time-varying data
14Maurício Breternitz Jr., Herbert H. J. Hum, Sanjeev Kumar Compilation, Architectural Support, and Evaluation of SIMD Graphics Pipeline Programs on a General-Purpose CPU. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Achim Rettberg, Mauro Cesar Zanella, Thomas Lehmann 0001, Ulrich Dierkes, Carsten Rustemeier Control Development for Mechatronic Systems with a Fully Reconfigurable Pipeline Architecture. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Achim Rettberg, Mauro Cesar Zanella, Thomas Lehmann 0001, Christophe Bobda A New Approach of a Self-Timed Bit-Serial Synchronous Pipeline Architecture. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Karthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger Microprocessor pipeline energy analysis. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF alpha 21264, over-provisioning, power, energy, speculation
14Väinö Hakkarainen, Lauri Sumanen, Mikko Aho, Mikko Waltari, Kari Halonen A self-calibration technique for time-interleaved pipeline ADCs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jianjun Guo, Waisiu Law, Charles T. Peach, Ward J. Helms, David J. Allstot A mixed-signal calibration technique for low-voltage CMOS 1.5-bit/stage pipeline data converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Shen-Chuan Tai, Chuen-Ching Wang, Jui-Lin Wang Circuit-Sharing Design between FFT and IMDCT with Pipeline Structure for DAB Receiver . Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Chandrama Shaw, Debashis Chatterji, Pradipta Maji, Subhayan Sen, B. N. Roy, Parimal Pal Chaudhuri A Pipeline Architecture for Encompression (Encryption + Compression) Technology. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Michael D. Powell, T. N. Vijaykumar Pipeline Damping: A Microarchitectural Technique to Reduce Inductive Noise in Supply Voltage. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Francisco Almeida, Daniel González, Luz Marina Moreno, Casiano Rodríguez An Analytical Model for Pipeline Algorithms on Heterogeneous Clusters. Search on Bibsonomy PVM/MPI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Marcos Ferretti, Peter A. Beerel Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Lauri Sumanen, Mikko Waltari, Väinö Hakkarainen, Kari Halonen CMOS dynamic comparators for pipeline A/D converters. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Pedro Amaral, João Goes, Nuno F. Paulino, Adolfo Steiger-Garção An improved low-voltage low-power CMOS comparator to be used in high-speed pipeline ADCs. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Ruy Luiz Milidiú, Artur Alves Pessoa, Eduardo Sany Laber Complexity of Makespan Minimization for Pipeline Transportation of Petroleum Products. Search on Bibsonomy APPROX The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Ruy Luiz Milidiú, Artur Alves Pessoa, Eduardo Sany Laber Pipeline Transportation of Petroleum Products with No Due Dates. Search on Bibsonomy LATIN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Atit Tamtrakarn, N. Wongkomet A 2.5-V 10-bit 40-MS/S double sampling pipeline A/D converter. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Markus Weinhardt, Wayne Luk Pipeline vectorization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Kazutoshi Kobayashi, Makoto Eguchi, Takuya Iwahashi, Takehide Shibayama, Xiang Li, Kousuke Takai, Hidetoshi Onodera A vector-pipeline DSP for low-rate videophones. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Toshinori Sato, Itsujiro Arita Execution Latency Reduction via Variable Latency Pipeline and Instruction Reuse. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Oscar Garnica, Juan Lanchares, Román Hermida Optimization of Asynchronous Delay-Insensitive Pipeline Latency Using Stage Reorganization and Optimal Stage Parameter Estimation. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14John B. Hughes, Martin Mee, William Donaldson A low voltage 8-bit, 40 MS/s switched-current pipeline analog-to-digital converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Lauri Sumanen, Kari Halonen A single-amplifier 6-bit CMOS pipeline A/D converter for WCDMA receivers. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14R. Iris Bahar, Srilatha Manne Power and energy reduction via pipeline balancing. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Herman Schmit, Srihari Cadambi, Matthew Moe, Seth Copen Goldstein Pipeline Reconfigurable FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Eduardo J. Peralías, Antonio J. Acosta 0001, Adoración Rueda, José L. Huertas A Vhdl-Based Methodology for Design and Verification of Pipeline A/D Converters. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Daniel González, Francisco Almeida, Luz Marina Moreno, Casiano Rodríguez Optimal Mapping of Pipeline Algorithms (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Francisco Ibarra Picó, Sergio Cuenca-Asensi An Associative Neural Network and Its Special Purpose Pipeline Architecture in Image Analysis. Search on Bibsonomy IWANN (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Topics Computer vision, real-time quality control, neural nets, texture recognition
14Bill Coates 0001, Jo C. Ebergen, Jon K. Lexau, Scott Fairbanks, Ian W. Jones, Alex Ridgway, David Money Harris, Ivan E. Sutherland A Counterflow Pipeline Experiment. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Markus Weinhardt, Wayne Luk Pipeline Vectorization for Reconfigurable Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware pipelines, FPGAs, reconfigurable computing, vectorization, loop transformations
14Alexander B. Godlevsky, Martin Gazák, Ladislav Hluchý Parallelizing of Seqential Programs on the Basis of Pipeline and Speculative Features of the Operators. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Han-Saem Yun Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14S. Summerfield, Zhongfeng Wang, Keshab K. Parhi Area-power-time efficient pipeline-interleaved architectures for wave digital filters. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Oliver Hauck, M. Garg, Sorin A. Huss Efficient and Safe Asynchronous Wave-Pipeline Architectures for Datapath and Control Unit Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Bruce R. Childers, Jack W. Davidson A Design Environment for Counterflow Pipeline Synthesis. Search on Bibsonomy LCTES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Srihari Cadambi, Jeffrey Weener, Seth Copen Goldstein, Herman Schmit, Donald E. Thomas Managing Pipeline-Reconfigurable FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Matthew Moe, Herman Schmit, Seth Copen Goldstein Characterization and Parameterization of a Pipeline Reconfigurable FPGA. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Kazimierz Wiatr Pipeline Architecture of Specialized Reconfigurable Processors in FPGA Structures for Real-Time Image Pre-Processing. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Image processors, FPGAs, real-time systems, reconfigurable systems
Displaying result #601 - #700 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license