The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Md. Musfiquzzaman Akanda, Ben A. Abderazek, Sotaro Kawata, Masahiro Sowa An Efficient Dynamic Switching Mechanism (DSM) for Hybrid Processor Architecture. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alireza Hodjat, David Hwang 0001, Ingrid Verbauwhede A Scalable and High Performance Elliptic Curve Processor with Resistance to Timing Attacks. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF security, Elliptic Curve Cryptography, side-channel attacks, Galois fields, hardware architecture
18Oscar del Rio Herrero, X. Maufroid Innovative hybrid optical/digital ultra-fast packet-switched processor for meshed satellite networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Georgi Kuzmanov, Georgi Gaydadjiev, Stamatis Vassiliadis The Virtex II ProTM MOLEN Processor. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi, Hidetoshi Onodera An SoC architecture and its design methodology using unifunctional heterogeneous processor array. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mikio Hashimoto, Hiroyoshi Haruki, Takeshi Kawabata Secure Processor Consistent with Both Foreign Software Protection and User Privacy Protection. Search on Bibsonomy Security Protocols Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mikio Hashimoto Secure Processor Consistent with Both Foreign Software Protection and User Privacy Protection (Transcript of Discussion). Search on Bibsonomy Security Protocols Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Steve Carr 0001, Philip H. Sweany Automatic data partitioning for the agere payload plus network processor. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, partitioning, network processors
18Long Bu, John A. Chandy A keyword match processor architecture using content addressable memory. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF keyword matching, text search hardware
18Mok Pak Lun, Anthony S. Fong, Gary K. W. Hau Object-oriented processor requirements with instruction analysis of Java programs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Frederik Vermeulen, Francky Catthoor, Lode Nachtergaele, Diederik Verkest, Hugo De Man Power-efficient flexible processor architecture for embedded applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Nathan Tuck, Dean M. Tullsen Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mok Pak Lun, Anthony S. Fong, Gary K. W. Hau Object-oriented processor requirements with instruction analysis of Java programs. Search on Bibsonomy ISICT The full citation details ... 2003 DBLP  BibTeX  RDF
18Clinton Kelly IV, Virantha N. Ekanayake, Rajit Manohar SNAP: A Sensor-Network Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Francisco Barat, Murali Jayapala, Tom Vander Aa, Rudy Lauwereins, Geert Deconinck, Henk Corporaal Low Power Coarse-Grained Reconfigurable Instruction Set Processor. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Eryk Laskowski, Marek Tudruj Inter-processor Connection Reconfiguration Based on Dynamic Look-Ahead Control of Multiple Crossbar Switches. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Young-Ki Ko, Eui Kyeong Hong, Myung Kim An XPATH Query Processor for a Decomposition Storage Scheme. Search on Bibsonomy Human.Society@Internet 2003 The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A Scalable Application-Specific Processor Synthesis Methodology. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jen-Chih Kuo, Ching-Hua Wen, An-Yeu Wu Implementation of a programmable 64~2048-point FFT/IFFT processor for OFDM-based communication systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Hans Eberle, Nils Gura, Sheueling Chang Shantz A Cryptograhpic Processor for Arbitrary Elliptic Curves over. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Nektarios Kranitis, George Xenoulis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Philip Heng Wai Leong, Ivan K. H. Leung A microcoded elliptic curve processor using FPGA technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Abhijit Jas, Nur A. Touba Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test data compression, system-on-chip testing, deterministic testing
18Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Effective instruction scheduling techniques for an interleaved cache clustered VLIW processor. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18G. D. Nagendra, V. G. Prem Kumar, B. S. Sheshadri Chakravarthy Simulation bridge: a framework for multi-processor simulation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation framework, instruction set simulator, multiprocessor simulation
18Marek Tudruj, Lukasz Masko Program Execution Control for Communication on the Fly in Dynamic Shared Memory Processor Clusters. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Lori Carter, Weihaw Chuang, Brad Calder An EPIC Processor with Pending Functional Units. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jeffrey T. Draper, Jeff Sondeen, Sumit D. Mediratta, Ihn Kim Implementation of a 32-bit RISC Processor for the Data-Intensive Architecture Processing-In-Memory Chip. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Kedarnath J. Balakrishnan, Nur A. Touba Matrix-Based Test Vector Decompression Using an Embedded Processor. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Instruction-Based Self-Testing of Processor Cores. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Eric Sprangle, Doug Carmean Increasing Processor Performance by Implementing Deeper Pipelines. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pipeline depth, Pipeline
18Li Chen, Sujit Dey Software-based self-testing methodology for processor cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Shorin Kyo, Takuya Koga, Shin'ichiro Okazaki IMAP-CE: a 51.2 GOPS video rate image processor with 128 VLIW processing elements. Search on Bibsonomy ICIP (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Stamatis Vassiliadis, Stephan Wong, Sorin Cotofana The MOLEN rho-mu-Coded Processor. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18César A. F. De Rose, Hans-Ulrich Heiss Dynamic Processor Allocation in Large Mesh-Connected Multicomputers. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Thomas Rauber, Robert Reilein, Gudula Rünger Orthogonal Processor Groups for Message-Passing Programs. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Marek Tudruj Embedded Cluster Computing through Dynamic Reconfigurability of Inter-Processor Connections. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18K. A. Jung, Y. S. Lee, H. S. Yang, W. S. Yang, J. H. Kim, S. H. Lee, B. H. Kang An integrated H.263 video CODEC with protocol processor. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ram Lakhan Gupta, Anshul Kumar, Aalbert Van Der Werf, Natalino G. Busá Synthesizing A Long Latency Unit Within Vliw Processor. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Buthayna Eilouti, Emanuel-George Vakalo A Language-Based Framework for a Form Processor. Search on Bibsonomy IV The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Form Visualization, Architectural Graphics, Geometric Modeling, Form Processing
18Subhash Chandra, Rajat Moona Retargetable Functional Simulator Using High Level Processor Models. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, Ying Cheng Embedded hardware and software self-testing methodologies for processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ralf Hartmut Güting, Stefan Dieker, Claudia Freundorfer, Ludger Becker, Holger Schenk SECONDO/QP: Implementation of a Generic Query Processor. Search on Bibsonomy DEXA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Martin S. Schmookler, Ramesh C. Agarwal, Fred G. Gustavson Series Approximation Methods for Divide and Square Root in the Power3(TM) Processor. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Guoqing Zhang, Michael Talley, Wael M. Badawy, Michael Weeks, Magdy A. Bayoumi A low power prototype for a 3D discrete wavelet transform processor. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Shen-Fu Hsiao A high-speed constant-factor redundant CORDIC processor without extra correcting or scaling iterations. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Ken Batcher, Christos A. Papachristou Instruction Randomization Self Test For Processor Cores. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Chun-Mok Chung, Shin-Dug Kim A Dualthreaded Java Processor for Java Multithreading. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Jacob R. Lorch, Alan Jay Smith Scheduling techniques for reducing processor energy use in MacOS. Search on Bibsonomy Wirel. Networks The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Sundararajan Sriram, Edward A. Lee Determining the Order of Processor Transactions in Statically Scheduled Multiprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Rainer Leupers, Peter Marwedel Retargetable generation of code selectors from HDL processor models. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Sherry Moore, Lionel M. Ni The Effects of Network Contention on Processor Allocation Strategies. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Steve Fu Memory Hierarchy Synthesis of a Multimedia Embedded Processor. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Chih-Ming Chang, Shih-Lien Lu Design of a static MIMD data flow processor using micropipelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Andreas Jakoby, Rüdiger Reischuk Data Transmission in Processor Networks. Search on Bibsonomy WDAG The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Arthur Abnous, Nader Bagherzadeh Pipelining and Bypassing in a VLIW Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF VLIWprocessor, very long instruction word, pipeline data hazards, performance evaluation, performance, parallel architectures, computer architecture, pipeline processing, pipeline structure, bypassing
18Takashi Miyazaki, Takao Nishitani, Masato Edahiro, Ikuko Ono, Kaoru Mitsuhashi DCT/IDCT processor for HDTV developed with dsp silicon compiler. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Jacqueline Chame, Michel Dubois 0001 Cache Inclusion and Processor Sampling in Multiprocessor Simulations. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18P. J. Narayanan Processor Autonomy on SIMD Architectures. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Jürgen Teich, Lothar Thiele Control generation in the design of processor arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Jim Weigang The User Command Processor. Search on Bibsonomy APL The full citation details ... 1991 DBLP  DOI  BibTeX  RDF APL
18Margaret L. Simmons, Harvey J. Wasserman Performance evaluation of the IBM RISC System/6000: comparison of an optimized scalar processor with two vector processors. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF RISC
18Mark R. Thistle, Burton J. Smith A processor architecture for horizon. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Won Kim 0001, Daniel Gajski, David J. Kuck A Parallel Pipelined Relational Query Processor. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18George H. Goble, Michael H. Marsh A dual processor VAX 11/780. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF UNIX, VAX
18Gilles Berger-Sabbatel, Philippe Olivier Alexandre Navaux Data Base Processor MAGE. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Somnath Paul, Swarup Bhunia VAIL: variation-aware issue logic and performance binning for processor yield and profit improvement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF narrow-width operand, superscalar processor, within-die variation
18Hoseok Chang, Junho Cho, Wonyong Sung Compiler-Based Performance Evaluation of an SIMD Processor with a Multi-Bank Memory Unit. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-bank memory, Vectorizing compiler, Data allocation, On-chip memory, SIMD processor
18Dragomir Milojevic, Luc J. M. Montperrus, Diederik Verkest Power Dissipation of the Network-on-Chip in Multi-Processor System-on-Chip Dedicated for Video Coding Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-processor systems-on-chip (MPSoC), Real-time video encoding, AVC/H.264, Low-power VLSI implementation, decoding, Networks-on-chip (NoC)
18Nicholas Lowell Model-based software design tools for the cell processor. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF run-time kernel, system dataflow modeling, code generation, automatic target recognition, cell processor
18Yadan Deng, Ning Jing, Wei Xiong 0010, Chen Luo, Hongsheng Chen Hash Join Optimization Based on Shared Cache Chip Multi-processor. Search on Bibsonomy DASFAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Radix-Join, Shared L2-Cache, Chip Multi-Processor, Cache Conflict
18Daniele Paolo Scarpazza, Gregory F. Russell High-performance regular expression scanning on the Cell/B.E. processor. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, regular expressions, cell processor
18Slo-Li Chu, Chih-Chieh Hsiao, Pin-Hua Chiu Design a Hardware Mechanism to Utilize Multiprocessors on a Uni-processor Operating System. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Xilinx ML310, FPGA, Linux, Multi-core, Inter-Processor Communication
18Masa-Aki Fukase, Atsuko Yokoyama, Tomoaki Sato A ubiquitous processor embedded with progressive cipher pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware cryptography, random number addressing, single chip processor, pipeline, ubiquitous
18Jie Tao 0001, Marcel Kunze, Fabian Nowak, Rainer Buchty, Wolfgang Karl Performance Advantage of Reconfigurable Cache Design on Multicore Processor Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Reconfigurable architecture, Multicore processor, Cache performance
18Michael A. Bender, David P. Bunde, Erik D. Demaine, Sándor P. Fekete, Vitus J. Leung, Henk Meijer, Cynthia A. Phillips Communication-Aware Processor Allocation for Supercomputers: Finding Point Sets of Small Average Distance. Search on Bibsonomy Algorithmica The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Manhattan distance, Clustering, Approximation, Supercomputers, Communication cost, Processor allocation, Polynomial-time approximation scheme (PTAS)
18Hiroaki Inoue, Junji Sakai, Masato Edahiro Processor virtualization for secure mobile terminals. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF processor virtualization, Multiprocessor
18Jae Hyun Baek, Sung Dae Kim, Myung Hoon Sunwoo SPOCS: Application Specific Signal Processor for OFDM Communication Systems. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ASSP, Communications, FFT, DSP, OFDM, Application-Specific Instruction-Set Processor (ASIP), Bit manipulation
18Xiaolong Jin, Geyong Min Performance modelling of generalized processor sharing systems with multiple self-similar traffic flows. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Flow decomposition, Performance modelling, Self-similarity, Generalized Processor Sharing (GPS)
18Julien Bernard 0001, Jean-Louis Roch, Daouda Traoré Processor-Oblivious Parallel Stream Computations. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF processor-oblivious parallel stream work-stealing
18Vaibhav Saxena, Prashant Agrawal, Yogish Sabharwal, Vijay K. Garg, Vimitha A. Kuruvilla, John A. Gunnels Optimization of BLAS on the Cell Processor. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Direct Memory Access (DMA), multi-core, linear algebra, BLAS, Cell processor
18Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, Nader Bagherzadeh A Generic Network Interface Architecture for a Networked Processor Array (NePA). Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networked Processor Array (NePA), Multiprocessor System-on-Chip (MPSoC), Interconnection Network, Network Interface, Network-on-Chip (NoC)
18Hyun Min Choi, Chun Pyo Hong, Chang Hoon Kim High Performance Elliptic Curve Cryptographic Processor Over GF(2^163). Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cryptographic Processor, VLSI, Finite Field, Elliptic Curve Cryptosystem, Gaussian Normal Basis
18Tao Liu 0033, Depei Qian, Yongxiang Huang, Rui Wang 0014 An Architecture for Distributed Controllable Networks and Manageable Node Based on Network Processor. Search on Bibsonomy APWeb The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Processor, Distributed Network Management
18Kai Shen, Alex Zhang, Terence Kelly, Christopher Stewart Operational analysis of processor speed scaling. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF acpi, datacenter-on-chip, p-states, scheduling, performance modeling, power, multicore, capacity planning, queuing, dynamic resource allocation, multi-processor, operational analysis, internet servers
18Tse-Yu Yeh Low-Power, High-Performance Architecture of the PWRficient Processor Family. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coherent memory system, low power, computer architecture, chip multiprocessor, high-performance, processor
18Regina Egorova, Bert Zwart Tail behavior of conditional sojourn times in Processor-Sharing queues. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Exponential asymptotics, Tail behavior, Laplace-Stieltjes transforms, Random sums, Processor Sharing, Sojourn time, Branching processes, M/G/1 queue
18Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick Scientific Computing Kernels on the Cell Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, Cell processor, Stencil
18Adam Janiak, Tomasz Krysiak Single processor scheduling with job values depending on their completion times. Search on Bibsonomy J. Sched. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Single processor, Job value, Heuristic, NP-hardness, Polynomial time
18Jingui Huang, Jianer Chen, Songqiao Chen, Jianxin Wang 0001 A simple linear time approximation algorithm for multi-processor job scheduling on four processors. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-processor job scheduling, Approximation algorithm, NP-hard problem
18Yiyu Tan, Anthony S. Fong, Xiaojian Yang An Instruction Folding Solution to a Java Processor. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction folding, Java virtual machine, Bytecode, Java processor
18Viswanathan Subramanian, Mikel Bezdek, Naga Durga Prasad Avirneni, Arun K. Somani Superscalar Processor Performance Enhancement through Reliable Dynamic Clock Frequency Tuning. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF overclocking, Reliability, Fault-Tolerant Computing, Dynamic, Superscalar processor
18Thuy Duong Vu, Chris R. Jesshope Formalizing SANE Virtual Processor in Thread Algebra. Search on Bibsonomy ICFEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SANE Virtual Processor, microthreading, thread algebra
18Xiaolong Jin, Geyong Min An analytical model for generalized processor sharing scheduling with heterogeneous network traffic. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF short range dependence, long range dependence, self-similar traffic, generalized processor sharing, large deviation principle
18Afshin Niktash, Hooman Parizi, Nader Bagherzadeh A Reconfigurable Processor for Forward Error Correction. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Turbo, Forward Error Correction, Processing Element, Reconfigurable Processor, Viterbi
18Christopher Ostler, Karam S. Chatha, Goran Konjevod Approximation Algorithm for Process Mapping on Network Processor Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel IXP2400 architecture, programmable network processor architectures, symmetric multiprocessing, automated system-level design, NP-complete problem, process mapping, polynomial time approximation algorithm, block multithreading
18Juha Leino 0002 Approximating optimal load balancing policy in discriminatory processor sharing systems. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF discriminatory processor sharing, load balancing, approximation, Markov decision processes, queue length
18Yong Ki Lee, Ingrid Verbauwhede A Compact Architecture for Montgomery Elliptic Curve Scalar Multiplication Processor. Search on Bibsonomy WISA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compact Elliptic Curve Processor, Montgomery Scalar Multiplication
18Li Wang 0027, Xuejun Yang, Guibin Wang, Xiaobo Yan, Yu Deng 0001, Jing Du 0002, Ying Zhang 0032, Tao Tang 0001, Kun Zeng Implementation and Optimization of Sparse Matrix-Vector Multiplication on Imagine Stream Processor. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream processor, Imagine, Sparse Matrix-Vector Multiplication
18Xuejun Yang, Xiaobo Yan, Zuocheng Xing, Yu Deng 0001, Jiang Jiang, Ying Zhang 0032 A 64-bit stream processor architecture for scientific applications. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture, compiler, high performance computing, program language, scientific application, stream processor
Displaying result #601 - #700 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license