Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Yuh-Horng Shiau, Chung-Ping Chung |
Effects and Handling of Instruction Class Contention in Superscalar Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Speed Comput. ![In: Int. J. High Speed Comput. 6(3), pp. 357-373, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Roger Collins, Gordon B. Steven |
An explicitly declared delayed-branch mechanism for a superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 40(10-12), pp. 677-680, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Brad Burgess, Mike Alexander, Ying-wai Ho, Suzanne Plummer Litch, Soummya Mallick, Deene Ogden, Sung-Ho Park, Jeff Slaton |
The PowerPC 603 Microprocessor: A High Performance, Low Power, Superscalar RISC Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: Spring COMPCON 94, Digest of Papers, San Francisco, California, USA, February 28 - March 4, 1994, pp. 300-306, 1994, IEEE Computer Society, 0-8186-5380-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Eric Reiher, Herbert H. J. Hum, Ajit Singh |
Simulating networks of superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 27th Annual Simulation Symposium, ANSS 1994, La Jolla, California, USA, April 11-15, 1994, pp. 125-133, 1994, IEEE, 0-8186-5620-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Christian Iseli, Eduardo Sanchez |
A Superscalar and Reconfigurable Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic, Architectures, Synthesis and Applications, 4th International Workshop on Field-Programmable Logic and Applications, FPL '94, Prague, Czech Republic, September 7-9, 1994, Proceedings, pp. 168-174, 1994, Springer, 3-540-58419-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Ko-Yang Wang |
Precise Compile-Time Performance Prediction for Superscalar-Based Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994, pp. 73-84, 1994, ACM, 0-89791-662-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
IBM RS/6000 |
17 | Alain Greiner, Luis Lucas, Franck Wajsbürt, Laurent Winckel |
Design of a High Complexity Superscalar Microprocessor with the Portable IDPS ASIC Library. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDAC-ETC-EUROASIC ![In: EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28 - March 3, 1994, Paris, France, pp. 9-13, 1994, IEEE Computer Society, 0-8186-5410-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Masahito Matsuo, Hiroyuki Kondo, Yukari Takata, Souichi Kobayashi, Mitsugu Satoh, Toyohiko Yoshida, Yuichi Saitoh, Jun-ichi Hinata |
A 32-bit Superscalar Microprocessor with 64-Bit Processing and High Bandwidth DRAM Interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994, pp. 203-210, 1994, IEEE Computer Society, 0-8186-6565-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | H. A. Rizvi, James B. Sinclair, J. Robert Jump, J. Carson |
Execution-Driven Simulation of a Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, USA, pp. 185-194, 1994, IEEE Computer Society, 0-8186-5090-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
17 | Steven Wallace, Nader Bagherzadeh |
Performance Issues of a Superscalar Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume I: Architecture., pp. 293-297, 1994, CRC Press, 0-8493-2493-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu |
An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume I: Architecture., pp. 285-292, 1994, CRC Press, 0-8493-2493-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Atsushi Inoue, Kenji Takeda |
Performance evaluation for various configuration of superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 21(1), pp. 4-11, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Nasr Ullah, Matt Holle |
The MC88110 implementation of precise exceptions in a superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 21(1), pp. 15-25, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Kunio Uchiyama, Fumio Arakawa, Susumu Narita, Hirokazu Aoki, Ikuya Kawasaki, Shigezumi Matsui, Mitsuyoshi Yamamoto, Norio Nakagawa, Ikuo Kudo |
The Gmicro/500 superscalar microprocessor with branch buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 13(5), pp. 12-22, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Wen-mei W. Hwu, Scott A. Mahlke, William Y. Chen, Pohua P. Chang, Nancy J. Warter, Roger A. Bringmann, Roland G. Ouellette, Richard E. Hank, Tokuzo Kiyohara, Grant E. Haab, John G. Holm, Daniel M. Lavery |
The superblock: An effective technique for VLIW and superscalar compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 7(1-2), pp. 229-248, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Shlomo Weiss |
Optimizing a superscalar machine to run vector code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Parallel Distributed Technol. Syst. Appl. ![In: IEEE Parallel Distributed Technol. Syst. Appl. 1(2), pp. 73-83, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Hong Chich Chou, Chung-Ping Chung |
Modeling of Superscalar Instruction Scheduling and Analysis of a Heuristic Scheduling Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIT ![In: BIT 33(3), pp. 354-371, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Edil S. T. Fernandes, Fernando M. B. Barbosa, David M. Simpson 0001 |
Evaluating the Cost of conditional branches on the performance of superscalar machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 38(1-5), pp. 133-140, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Fleur L. Steven, Rod Adams, Gordon B. Steven, L. Wang, D. J. Whale |
Addressing mechanisms for VLIW and superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 39(2-5), pp. 75-78, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Yen-Jen Oyang |
Exploiting multi-way branches to boost superscalar processor performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 36(4), pp. 205-213, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Jin-Ching Chung |
Modeling and Evaluation of a Superscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS '93, Proceedings of the International Workshop on Modeling, Analysis, and Simulation On Computer and Telecommunication Systems, January 17-20, 1993, La Jolla, San Diego, CA, USA, pp. 50-53, 1993, The Society for Computer Simulation, 1-56555-018-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP BibTeX RDF |
|
17 | Matthew K. Farrens, Pius Ng, Phil Nico |
A comparision of superscalar and decoupled access/execute architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 100-103, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Trung A. Diep, John Paul Shen, Mike Phillip |
EXPLORER: a retargetable and visualization-based trace-driven simulator for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 225-235, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Tse-Yu Yeh, Yale N. Patt |
Branch history table indexing to prevent pipeline bubbles in wide-issue superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 164-175, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Andrew Wolfe, Rodney Boleyn |
Two-ported cache alternatives for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 41-48, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | John Lenell, Nader Bagherzadeh |
A Performance Comparison of Several Superscalar Processor Models with a VLIW Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993., pp. 44-48, 1993, IEEE Computer Society, 0-8186-3442-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Rodney Boleyn, James Debardelaben, Vivek Tiwari, Andrew Wolfe |
A Split Data Cache for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993, pp. 32-39, 1993, IEEE Computer Society, 0-8186-4230-0. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Christian Iseli, Eduardo Sanchez |
Beyond Superscalar Using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993, pp. 486-490, 1993, IEEE Computer Society, 0-8186-4230-0. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Soo-Mook Moon, Kemal Ebcioglu, Ashok K. Agrawala |
Selective Scheduling Framework for Speculative Operations in VLIW and Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Architectures and Compilation Techniques for Fine and Medium Grain Parallelism ![In: Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism, PACT 1993, Orlando, Florida, USA, January 20-22, 1993, pp. 229-242, 1993, North-Holland, 0-444-88464-5. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP BibTeX RDF |
|
17 | Soo-Mook Moon, Kemal Ebcioglu |
On Performance, Efficiency of VLIW and Superscalar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (2) ![In: Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume II: Software., pp. 283-287, 1993, CRC Press, 0-8493-8985-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Daejoon Hwang, Seung Ho Cho, Y. D. Kim, Sangyong Han |
Exploiting Spatial and Temporal Parallelism in the Multithreaded Node Architecture Implemented on Superscalar RISC Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume I: Architecture., pp. 51-54, 1993, CRC Press, 0-8493-8984-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | James K. Pickett, David G. Meyer |
Enhanced superscalar hardware: the schedule table. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '93, Portland, Oregon, USA, November 15-19, 1993, pp. 636-644, 1993, ACM, 0-8186-4340-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
MIPS R2000 |
17 | Tse-Yu Yeh |
Two-level adaptive branch prediction and instruction fetch mechanisms for high performance superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1993 |
RDF |
|
17 | Michael Laird |
A comparison of three current superscalar designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 20(3), pp. 14-21, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Keith Diefendorff, Michael Allen |
Organization of the Motorola 88110 superscalar RISC microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 12(2), pp. 40-63, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Yuh-Horng Shiau, Chung-Ping Chung |
Adoptability and effectiveness of microcode compaction algorithms in superscalar processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Comput. ![In: Parallel Comput. 18(5), pp. 497-510, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Takaya Arita, Hiroaki Ito, Masahiro Sowa |
Performance of the PN superscalar processor as estimated by simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Comput. Jpn. ![In: Syst. Comput. Jpn. 23(14), pp. 24-34, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Chih-Po Wen |
Improving instruction supply efficiency in superscalar architectures using instruction trace buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1992 ACM/SIGAPP Symposium on Applied Computing: Technological Challenges of the 1990's, Kansas City, MO, USA, March 1-3, 1992, pp. 28-36, 1992, ACM, 0-89791-502-X. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Thang Tran, Chuan-lin Wu |
Limitation of superscalar microprocessor performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 33-36, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Thomas M. Conte |
Tradeoffs in processor/memory interfaces for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 202-205, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Tokuzo Kiyohara, John C. Gyllenhaal |
Code scheduling for VLIW/superscalar processors with limited register files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 197-201, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Harry Dwyer, Hwa C. Torng |
An out-of-order superscalar processor with speculative execution and fast, precise interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 272-281, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Takaaki Kato, Toshihisa Ono, Nader Bagherzadeh |
Performance analysis and design methodology for a scalable superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 246-255, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Benoît Dupont de Dinechin |
StaCS: a Static Control Superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 282-291, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Suresh Srinivas, R. Kent Dybvig |
Superscalar Floating-Point Vector Computation in Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 92 - VAPP V, Second Joint International Conference on Vector and Parallel Processing, Lyon, France, September 1-4, 1992, Proceedings, pp. 811-812, 1992, Springer, 3-540-55895-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Thomas M. Conte, Wen-mei W. Hwu |
Systematic prototyping of superscalar computer architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSP ![In: Proceedings of the Third International Workshop on Rapid System Prototyping, RSP 1992, Research Triangle Park, North Carolina, USA, June 23-15, 1992, pp. 161-170, 1992, IEEE Computer Society, 0-8186-3520-7. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Takaaki Kato, Koji Suginuma, Nader Bagherzadeh |
On Design and Performance Analysis of a Superscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume I: Architecture., pp. 171-178, 1992, CRC Press, 0-8493-0781-3. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
17 | Kisaburo Nakazawa, Hiroshi Nakamura, Hiromitsu Imori, Shun Kawabe |
Pseudo Vector Processor Based on Register-Windowed Superscalar Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '92, Minneapolis, MN, USA, November 16-20, 1992, pp. 642-651, 1992, IEEE Computer Society, 0-8186-2630-5. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Scott A. Mahlke, William Y. Chen, John C. Gyllenhaal, Wen-mei W. Hwu |
Compiler Code Transformations for Superscalar-Based High Performance Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '92, Minneapolis, MN, USA, November 16-20, 1992, pp. 808-817, 1992, IEEE Computer Society, 0-8186-2630-5. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Feipei Lai, Meng-chou Chang |
Enhancing boosting with semantic register in a superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992, pp. 430, 1992, ACM, 0-89791-509-7. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Yen-Jen Oyang, Chun-Hung Wen, Ching-Chuan Chiang, Ching-Te Lin, Yu-Fen Chen, Shu-May Lin, Chao-Yi Fang, Fu-Li Chen, Chou-Yu Ku |
Major Architectural Features of the Spectra-I Superscalar Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Sci. Eng. ![In: J. Inf. Sci. Eng. 7(4), pp. 513-527, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
17 | Takaya Arita, Masahiro Sowa |
High Speed Synchronization for a Statically Scheduled Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Speed Comput. ![In: Int. J. High Speed Comput. 3(1), pp. 77-87, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Mike Johnson |
Superscalar microprocessor design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1991 |
RDF |
|
17 | Steve McGeady, Randy Steck, Glenn Hinton, Atiq Bajwa |
Performance enhancements in the superscalar i960MM embedded microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Compcon ![In: Compcon Spring '91, San Francisco, California, USA, February 25 - March 1, 1991. Digest of Papers, pp. 4-7, 1991, IEEE Computer Society, 0-8186-2134-6. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Lee F. Hanson, Nathan A. Brookwood |
The C400 superscalar/superpipelined RISC design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Compcon ![In: Compcon Spring '91, San Francisco, California, USA, February 25 - March 1, 1991. Digest of Papers, pp. 247-251, 1991, IEEE Computer Society, 0-8186-2134-6. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | William Y. Chen, Scott A. Mahlke, Pohua P. Chang, Wen-mei W. Hwu |
Data Access Microarchitectures for Superscalar Processors with Compiler-Assisted Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 24th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 24, Albuquerque, New Mexico, USA, November 18-20, 1991, pp. 69-73, 1991, ACM/IEEE, 0-89791-460-0. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | David Bernstein, Michael Rodeh |
Global Instruction Scheduling for Superscalar Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'91 Conference on Programming Language Design and Implementation (PLDI), Toronto, Ontario, Canada, June 26-28, 1991, pp. 241-255, 1991, ACM, 0-89791-428-7. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | M. Hanawa, Tadahiko Nishimukai, O. Nishii, Masato Suzuki, K. Yano, M. Hiraki, S. Shukuri, T. Nishida |
On-Chip Multiple Superscalar Processors with Secondary Cache Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991, pp. 128-131, 1991, IEEE Computer Society, 0-8186-2270-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | George E. Daddis Jr., Hwa C. Torng |
The Concurrent Execution of Multiple Instruction Streams on Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the International Conference on Parallel Processing, ICPP '91, Austin, Texas, USA, August 1991. Volume I: Architecture/Hardware., pp. 76-83, 1991, CRC Press. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
17 | Masaitsu Nakajima, Hiraku Nakano, Yasuhiro Nakakura, Tadahiro Yoshida, Yoshiyuki Goi, Yuji Nakai, Reiji Segawa, Takeshi Kishida, Hiroshi Kadota |
OHMEGA: A VLSI Superscalar Processor Architecture for Numerical Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, Canada, May, 27-30 1991, pp. 160-168, 1991, ACM, 0-89791-394-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Roland L. Lee, Alex Y. Kwok, Faye A. Briggs |
The Floating-Point Performance of a Superscalar SPARC Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IV Proceedings - Forth International Conference on Architectural Support for Programming Languages and Operating Systems, Santa Clara, California, USA, April 8-11, 1991., pp. 28-37, 1991, ACM Press, 0-89791-380-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Gurindar S. Sohi, Manoj Franklin |
High-Bandwidth Data Memory Systems for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IV Proceedings - Forth International Conference on Architectural Support for Programming Languages and Operating Systems, Santa Clara, California, USA, April 8-11, 1991., pp. 53-62, 1991, ACM Press, 0-89791-380-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Yen-Jen Oyang, Chun-Hung Wen, Yu-Fen Chen, Shu-May Lin |
The effect of employing advanced branching mechanisms in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 18(4), pp. 35-52, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Steve McGeady |
Inside Intel's i960CA superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 14(6), pp. 385-396, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | David N. Glass |
Compile-time instruction scheduling for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Compcon ![In: Intellectual Leverage: Thirty-Fifth IEEE Computer Society International Conference, Compcon Spring '90, San Francisco, California, USA, February 26 - March 2, 1992, Digest of Papers., pp. 630-633, 1990, IEEE Computer Society, 0-8186-2028-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Steve McGeady |
The i960CA SuperScalar implementation of the 80960 architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Compcon ![In: Intellectual Leverage: Thirty-Fifth IEEE Computer Society International Conference, Compcon Spring '90, San Francisco, California, USA, February 26 - March 2, 1992, Digest of Papers., pp. 232-240, 1990, IEEE Computer Society, 0-8186-2028-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | David J. Lilja, Pen-Chung Yew |
Comparing Parallelism Extraction Techniques: Superscalar Processors, Pipelined Processors, and Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture., pp. 563-564, 1990, Pennsylvania State University Press, 0-271-00728-1. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
17 | Michael D. Smith 0001, Monica S. Lam, Mark Horowitz |
Boosting Beyond Static Scheduling in a Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990, pp. 344-354, 1990, ACM, 0-89791-366-3. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Norman P. Jouppi |
Superscalar vs. superpipelined machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 16(3), pp. 71-80, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Avi Timor, Avi Mendelson, Yitzhak Birk, Neeraj Suri |
Using Underutilized CPU Resources to Enhance Its Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 7(1), pp. 94-109, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
double execution, fault tolerance, soft errors, microarchitecture, Transient faults, superscalar |
15 | Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg |
EXACT: explicit dynamic-branch prediction with active updates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 165-176, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
branch prediction, microarchitecture, superscalar processors |
15 | Weiwu Hu, Jian Wang |
Making Effective Decisions in Computer Architects' Real-World: Lessons and Experiences with Godson-2 Processor Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 23(4), pp. 620-632, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
correlation design, balanced design, Pico-architecture design, work-on-silicon, optimized design, superscalar architecture |
15 | Gabriel H. Loh |
A modular 3d processor for flexible product design and technology migration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 159-170, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
modular, superscalar, 3d-integration |
15 | Oliverio J. Santana, Alex Ramírez, Mateo Valero |
Enlarging Instruction Streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(10), pp. 1342-1357, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Superscalar processor design, branch prediction, code optimization, instruction fetch, access latency |
15 | Robert Granat, Isak Jonsson, Bo Kågström |
Recursive Blocked Algorithms for Solving Periodic Triangular Sylvester-Type Matrix Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing. State of the Art in Scientific Computing, 8th International Workshop, PARA 2006, Umeå, Sweden, June 18-21, 2006, Revised Selected Papers, pp. 531-539, 2006, Springer, 978-3-540-75754-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Sylvester-type matrix equations, periodic matrix equations, recursion, blocking, superscalar, level 3 BLAS |
15 | Weiwu Hu, Fuxin Zhang, Zusong Li |
Microarchitecture of the Godson-2 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(2), pp. 243-249, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming |
15 | Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu |
Dynamic Functional Unit Assignment for Low Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 31(1), pp. 47-62, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
bit patterns, functional unit assignment, low power, hamming distance, superscalar, dynamic power |
15 | Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran |
Dual-pipeline heterogeneous ASIP design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 12-17, 2004, ACM, 1-58113-937-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
dual-pipeline, instruction set generation, ASIP, superscalar |
15 | Noureddine Chabini, Wayne H. Wolf |
An approach for integrating basic retiming and software pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2004, September 27-29, 2004, Pisa, Italy, Fourth ACM International Conference On Embedded Software, Proceedings, pp. 287-296, 2004, ACM, 1-58113-860-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size |
15 | Michel Dubois 0001 |
Fighting the memory wall with assisted execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 168-180, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance |
15 | Deependra Talla, Lizy Kurian John, Doug Burger |
Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(8), pp. 1015-1031, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
bottlenecks in SIMD extensions, hardware address generation, low-overhead looping, superscalar general-purpose processors, performance evaluation, workload characterization, subword parallelism, Media processing, data reorganization |
15 | André Seznec, Nicolas Sendrier |
HAVEGE: A user-level software heuristic for generating empirically strong random numbers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Model. Comput. Simul. ![In: ACM Trans. Model. Comput. Simul. 13(4), pp. 334-346, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
hardware clock counters, Cryptography, random number generation, superscalar processor |
15 | Daniel Ortega, Eduard Ayguadé, Mateo Valero |
Dynamic memory instruction bypassing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 316-325, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
on-chip memory management, superscalar processors |
15 | Marco Antonio Ramírez, Adrián Cristal, Alexander V. Veidenbaum, Luis Villa, Mateo Valero |
A Simple Low-Energy Instruction Wakeup Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 5th International Symposium, ISHPC 2003, Tokyo-Odaiba, Japan, October 20-22, 2003, Proceedings, pp. 99-112, 2003, Springer, 3-540-20359-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Instruction wake up, Low power, Superscalar processors, Out of order execution, CAM, Instruction window |
15 | Isak Jonsson, Bo Kågström |
Recursive blocked algorithms for solving triangular systems - Part II: two-sided and generalized Sylvester and Lyapunov matrix equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 28(4), pp. 416-435, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
SMP parallelization, generalized Sylvester and Lyapunov, standard discrete-time Sylvester and Lyapunov, recursion, superscalar, LAPACK, level-3 BLAS, GEMM-based, SLICOT, Matrix equations, automatic blocking |
15 | Soner Önder |
Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 232-241, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution |
15 | Haris Lekatsas, Wayne H. Wolf, Yuan Xie 0001 |
Code Compression for VLIW Processors Using Variable-to-Fixed Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 138-143, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
assembly-level analysis, performance estimation, superscalar architectures |
15 | Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt |
Lifetime-Sensitive Modulo Scheduling in a Production Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(3), pp. 234-249, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures |
15 | Dietmar Fey, Marko Degenkolb |
Digit Pipelined Arithmetic for 3-D Massively Parallel Optoelectronic Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 16(3), pp. 177-196, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
optoelectronic VLSI, signed-digit arithmetic, pipeline processing, optical interconnects, superscalar architectures |
15 | Umesh Krishnaswamy, Isaac D. Scherson |
A Framework for Computer Performance Evaluation Using Benchmark Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(12), pp. 1325-1338, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Computer performance evaluation, benchmark sets, performance vectors, performance modeling, superscalar processors, vector computers |
15 | Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen |
A Buffer-Oriented Methodology for Microarchitecture Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 16(1-2), pp. 49-65, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
processor validation, superscalar microarchitecture, design validation |
15 | Ramon Canal, Antonio González 0001 |
A low-complexity issue logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 327-335, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
in-order issue, instruction issue logic, wide-issue superscalar, out-of-order issue |
15 | James J. Carrig Jr., Gerard G. L. Meyer |
A parameterized ordering for cache-, register- and pipeline-efficient Givens QR decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. Math. ![In: Adv. Comput. Math. 10(1), pp. 97-113, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Givens, 65F25, superscalar processors, 65F05, 65Y10, QR algorithm |
15 | Derek L. Howard, Mikko H. Lipasti |
The Effect of Program Optimization on Trace Cache Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 256-261, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
compiler optimization, Microarchitecture, superscalar processors, trace cache |
15 | Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte |
A Fast Interrupt Handling Scheme for VLIW Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 136-141, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue |
15 | Jared Stark, Paul Racunas, Yale N. Patt |
Reducing the Performance Impact of Instruction Cache Misses by Writing Instructions into the Reservation Stations Out-of-Order. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 34-43, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
instruction supply, superscalar processors, out-of-order execution |
15 | Chung-Ho Chen, Akida Wu |
Microarchitecture Support for Improving the Performance of Load Target Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 228-234, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
load target prediction, load-use stall, speculative data access, superscalar procesor, pipeline |
15 | Kemal Ebcioglu, Erik R. Altman |
DAISY: Dynamic Compilation for 100% Architectural Compatibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997, pp. 26-37, 1997, ACM, 0-89791-901-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
object code compatible VLIW, instruction-level parallelism, superscalar, binary translation, dynamic compilation |
15 | Nelson L. Passos, Edwin Hsing-Mean Sha |
Achieving Full Parallelism Using Multidimensional Retiming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(11), pp. 1150-1163, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multidimensional data-flow graphs, instruction level parallelism, VLIW, Retiming, loop transformation, superscalar, nested loops |
15 | Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao |
A Framework for Resource-Constrained Rate-Optimal Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(11), pp. 1133-1149, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling |