The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Yuh-Horng Shiau, Chung-Ping Chung Effects and Handling of Instruction Class Contention in Superscalar Processing. Search on Bibsonomy Int. J. High Speed Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Roger Collins, Gordon B. Steven An explicitly declared delayed-branch mechanism for a superscalar architecture. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Brad Burgess, Mike Alexander, Ying-wai Ho, Suzanne Plummer Litch, Soummya Mallick, Deene Ogden, Sung-Ho Park, Jeff Slaton The PowerPC 603 Microprocessor: A High Performance, Low Power, Superscalar RISC Microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Eric Reiher, Herbert H. J. Hum, Ajit Singh Simulating networks of superscalar processors. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Christian Iseli, Eduardo Sanchez A Superscalar and Reconfigurable Processor. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Ko-Yang Wang Precise Compile-Time Performance Prediction for Superscalar-Based Computers. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF IBM RS/6000
17Alain Greiner, Luis Lucas, Franck Wajsbürt, Laurent Winckel Design of a High Complexity Superscalar Microprocessor with the Portable IDPS ASIC Library. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Masahito Matsuo, Hiroyuki Kondo, Yukari Takata, Souichi Kobayashi, Mitsugu Satoh, Toyohiko Yoshida, Yuichi Saitoh, Jun-ichi Hinata A 32-bit Superscalar Microprocessor with 64-Bit Processing and High Bandwidth DRAM Interface. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17H. A. Rizvi, James B. Sinclair, J. Robert Jump, J. Carson Execution-Driven Simulation of a Superscalar Processor. Search on Bibsonomy HICSS (1) The full citation details ... 1994 DBLP  BibTeX  RDF
17Steven Wallace, Nader Bagherzadeh Performance Issues of a Superscalar Microprocessor. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Atsushi Inoue, Kenji Takeda Performance evaluation for various configuration of superscalar processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Nasr Ullah, Matt Holle The MC88110 implementation of precise exceptions in a superscalar architecture. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Kunio Uchiyama, Fumio Arakawa, Susumu Narita, Hirokazu Aoki, Ikuya Kawasaki, Shigezumi Matsui, Mitsuyoshi Yamamoto, Norio Nakagawa, Ikuo Kudo The Gmicro/500 superscalar microprocessor with branch buffers. Search on Bibsonomy IEEE Micro The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Wen-mei W. Hwu, Scott A. Mahlke, William Y. Chen, Pohua P. Chang, Nancy J. Warter, Roger A. Bringmann, Roland G. Ouellette, Richard E. Hank, Tokuzo Kiyohara, Grant E. Haab, John G. Holm, Daniel M. Lavery The superblock: An effective technique for VLIW and superscalar compilation. Search on Bibsonomy J. Supercomput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Shlomo Weiss Optimizing a superscalar machine to run vector code. Search on Bibsonomy IEEE Parallel Distributed Technol. Syst. Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Hong Chich Chou, Chung-Ping Chung Modeling of Superscalar Instruction Scheduling and Analysis of a Heuristic Scheduling Algorithm. Search on Bibsonomy BIT The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Edil S. T. Fernandes, Fernando M. B. Barbosa, David M. Simpson 0001 Evaluating the Cost of conditional branches on the performance of superscalar machines. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Fleur L. Steven, Rod Adams, Gordon B. Steven, L. Wang, D. J. Whale Addressing mechanisms for VLIW and superscalar processors. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yen-Jen Oyang Exploiting multi-way branches to boost superscalar processor performance. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Jin-Ching Chung Modeling and Evaluation of a Superscalar Architecture. Search on Bibsonomy MASCOTS The full citation details ... 1993 DBLP  BibTeX  RDF
17Matthew K. Farrens, Pius Ng, Phil Nico A comparision of superscalar and decoupled access/execute architectures. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Trung A. Diep, John Paul Shen, Mike Phillip EXPLORER: a retargetable and visualization-based trace-driven simulator for superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Tse-Yu Yeh, Yale N. Patt Branch history table indexing to prevent pipeline bubbles in wide-issue superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Andrew Wolfe, Rodney Boleyn Two-ported cache alternatives for superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17John Lenell, Nader Bagherzadeh A Performance Comparison of Several Superscalar Processor Models with a VLIW Processor. Search on Bibsonomy IPPS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Rodney Boleyn, James Debardelaben, Vivek Tiwari, Andrew Wolfe A Split Data Cache for Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Christian Iseli, Eduardo Sanchez Beyond Superscalar Using FPGAs. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Soo-Mook Moon, Kemal Ebcioglu, Ashok K. Agrawala Selective Scheduling Framework for Speculative Operations in VLIW and Superscalar Processors. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
17Soo-Mook Moon, Kemal Ebcioglu On Performance, Efficiency of VLIW and Superscalar. Search on Bibsonomy ICPP (2) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Daejoon Hwang, Seung Ho Cho, Y. D. Kim, Sangyong Han Exploiting Spatial and Temporal Parallelism in the Multithreaded Node Architecture Implemented on Superscalar RISC Processors. Search on Bibsonomy ICPP (1) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17James K. Pickett, David G. Meyer Enhanced superscalar hardware: the schedule table. Search on Bibsonomy SC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF MIPS R2000
17Tse-Yu Yeh Two-level adaptive branch prediction and instruction fetch mechanisms for high performance superscalar processors. Search on Bibsonomy 1993   RDF
17Michael Laird A comparison of three current superscalar designs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Keith Diefendorff, Michael Allen Organization of the Motorola 88110 superscalar RISC microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Yuh-Horng Shiau, Chung-Ping Chung Adoptability and effectiveness of microcode compaction algorithms in superscalar processing. Search on Bibsonomy Parallel Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Takaya Arita, Hiroaki Ito, Masahiro Sowa Performance of the PN superscalar processor as estimated by simulation. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Chih-Po Wen Improving instruction supply efficiency in superscalar architectures using instruction trace buffers. Search on Bibsonomy SAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Thang Tran, Chuan-lin Wu Limitation of superscalar microprocessor performance. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Thomas M. Conte Tradeoffs in processor/memory interfaces for superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Tokuzo Kiyohara, John C. Gyllenhaal Code scheduling for VLIW/superscalar processors with limited register files. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Harry Dwyer, Hwa C. Torng An out-of-order superscalar processor with speculative execution and fast, precise interrupts. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Takaaki Kato, Toshihisa Ono, Nader Bagherzadeh Performance analysis and design methodology for a scalable superscalar architecture. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Benoît Dupont de Dinechin StaCS: a Static Control Superscalar architecture. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Suresh Srinivas, R. Kent Dybvig Superscalar Floating-Point Vector Computation in Scheme. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Thomas M. Conte, Wen-mei W. Hwu Systematic prototyping of superscalar computer architectures. Search on Bibsonomy RSP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Takaaki Kato, Koji Suginuma, Nader Bagherzadeh On Design and Performance Analysis of a Superscalar Architecture. Search on Bibsonomy ICPP (1) The full citation details ... 1992 DBLP  BibTeX  RDF
17Kisaburo Nakazawa, Hiroshi Nakamura, Hiromitsu Imori, Shun Kawabe Pseudo Vector Processor Based on Register-Windowed Superscalar Pipeline. Search on Bibsonomy SC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Scott A. Mahlke, William Y. Chen, John C. Gyllenhaal, Wen-mei W. Hwu Compiler Code Transformations for Superscalar-Based High Performance Systems. Search on Bibsonomy SC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Feipei Lai, Meng-chou Chang Enhancing boosting with semantic register in a superscalar processor. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Yen-Jen Oyang, Chun-Hung Wen, Ching-Chuan Chiang, Ching-Te Lin, Yu-Fen Chen, Shu-May Lin, Chao-Yi Fang, Fu-Li Chen, Chou-Yu Ku Major Architectural Features of the Spectra-I Superscalar Microprocessor. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 1991 DBLP  BibTeX  RDF
17Takaya Arita, Masahiro Sowa High Speed Synchronization for a Statically Scheduled Superscalar Processor. Search on Bibsonomy Int. J. High Speed Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Mike Johnson Superscalar microprocessor design. Search on Bibsonomy 1991   RDF
17Steve McGeady, Randy Steck, Glenn Hinton, Atiq Bajwa Performance enhancements in the superscalar i960MM embedded microprocessor. Search on Bibsonomy Compcon The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Lee F. Hanson, Nathan A. Brookwood The C400 superscalar/superpipelined RISC design. Search on Bibsonomy Compcon The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17William Y. Chen, Scott A. Mahlke, Pohua P. Chang, Wen-mei W. Hwu Data Access Microarchitectures for Superscalar Processors with Compiler-Assisted Data Prefetching. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17David Bernstein, Michael Rodeh Global Instruction Scheduling for Superscalar Machines. Search on Bibsonomy PLDI The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17M. Hanawa, Tadahiko Nishimukai, O. Nishii, Masato Suzuki, K. Yano, M. Hiraki, S. Shukuri, T. Nishida On-Chip Multiple Superscalar Processors with Secondary Cache Memories. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17George E. Daddis Jr., Hwa C. Torng The Concurrent Execution of Multiple Instruction Streams on Superscalar Processors. Search on Bibsonomy ICPP (1) The full citation details ... 1991 DBLP  BibTeX  RDF
17Masaitsu Nakajima, Hiraku Nakano, Yasuhiro Nakakura, Tadahiro Yoshida, Yoshiyuki Goi, Yuji Nakai, Reiji Segawa, Takeshi Kishida, Hiroshi Kadota OHMEGA: A VLSI Superscalar Processor Architecture for Numerical Applications. Search on Bibsonomy ISCA The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Roland L. Lee, Alex Y. Kwok, Faye A. Briggs The Floating-Point Performance of a Superscalar SPARC Processor. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Gurindar S. Sohi, Manoj Franklin High-Bandwidth Data Memory Systems for Superscalar Processors. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Yen-Jen Oyang, Chun-Hung Wen, Yu-Fen Chen, Shu-May Lin The effect of employing advanced branching mechanisms in superscalar processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Steve McGeady Inside Intel's i960CA superscalar processor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17David N. Glass Compile-time instruction scheduling for superscalar processors. Search on Bibsonomy Compcon The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Steve McGeady The i960CA SuperScalar implementation of the 80960 architecture. Search on Bibsonomy Compcon The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17David J. Lilja, Pen-Chung Yew Comparing Parallelism Extraction Techniques: Superscalar Processors, Pipelined Processors, and Multiprocessors. Search on Bibsonomy ICPP (1) The full citation details ... 1990 DBLP  BibTeX  RDF
17Michael D. Smith 0001, Monica S. Lam, Mark Horowitz Boosting Beyond Static Scheduling in a Superscalar Processor. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Norman P. Jouppi Superscalar vs. superpipelined machines. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Avi Timor, Avi Mendelson, Yitzhak Birk, Neeraj Suri Using Underutilized CPU Resources to Enhance Its Reliability. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double execution, fault tolerance, soft errors, microarchitecture, Transient faults, superscalar
15Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg EXACT: explicit dynamic-branch prediction with active updates. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF branch prediction, microarchitecture, superscalar processors
15Weiwu Hu, Jian Wang Making Effective Decisions in Computer Architects' Real-World: Lessons and Experiences with Godson-2 Processor Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF correlation design, balanced design, Pico-architecture design, work-on-silicon, optimized design, superscalar architecture
15Gabriel H. Loh A modular 3d processor for flexible product design and technology migration. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modular, superscalar, 3d-integration
15Oliverio J. Santana, Alex Ramírez, Mateo Valero Enlarging Instruction Streams. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Superscalar processor design, branch prediction, code optimization, instruction fetch, access latency
15Robert Granat, Isak Jonsson, Bo Kågström Recursive Blocked Algorithms for Solving Periodic Triangular Sylvester-Type Matrix Equations. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Sylvester-type matrix equations, periodic matrix equations, recursion, blocking, superscalar, level 3 BLAS
15Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
15Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu Dynamic Functional Unit Assignment for Low Power. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bit patterns, functional unit assignment, low power, hamming distance, superscalar, dynamic power
15Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran Dual-pipeline heterogeneous ASIP design. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual-pipeline, instruction set generation, ASIP, superscalar
15Noureddine Chabini, Wayne H. Wolf An approach for integrating basic retiming and software pipelining. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size
15Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
15Deependra Talla, Lizy Kurian John, Doug Burger Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bottlenecks in SIMD extensions, hardware address generation, low-overhead looping, superscalar general-purpose processors, performance evaluation, workload characterization, subword parallelism, Media processing, data reorganization
15André Seznec, Nicolas Sendrier HAVEGE: A user-level software heuristic for generating empirically strong random numbers. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware clock counters, Cryptography, random number generation, superscalar processor
15Daniel Ortega, Eduard Ayguadé, Mateo Valero Dynamic memory instruction bypassing. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip memory management, superscalar processors
15Marco Antonio Ramírez, Adrián Cristal, Alexander V. Veidenbaum, Luis Villa, Mateo Valero A Simple Low-Energy Instruction Wakeup Mechanism. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction wake up, Low power, Superscalar processors, Out of order execution, CAM, Instruction window
15Isak Jonsson, Bo Kågström Recursive blocked algorithms for solving triangular systems - Part II: two-sided and generalized Sylvester and Lyapunov matrix equations. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SMP parallelization, generalized Sylvester and Lyapunov, standard discrete-time Sylvester and Lyapunov, recursion, superscalar, LAPACK, level-3 BLAS, GEMM-based, SLICOT, Matrix equations, automatic blocking
15Soner Önder Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution
15Haris Lekatsas, Wayne H. Wolf, Yuan Xie 0001 Code Compression for VLIW Processors Using Variable-to-Fixed Coding. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF assembly-level analysis, performance estimation, superscalar architectures
15Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt Lifetime-Sensitive Modulo Scheduling in a Production Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures
15Dietmar Fey, Marko Degenkolb Digit Pipelined Arithmetic for 3-D Massively Parallel Optoelectronic Circuits. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optoelectronic VLSI, signed-digit arithmetic, pipeline processing, optical interconnects, superscalar architectures
15Umesh Krishnaswamy, Isaac D. Scherson A Framework for Computer Performance Evaluation Using Benchmark Sets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Computer performance evaluation, benchmark sets, performance vectors, performance modeling, superscalar processors, vector computers
15Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen A Buffer-Oriented Methodology for Microarchitecture Validation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF processor validation, superscalar microarchitecture, design validation
15Ramon Canal, Antonio González 0001 A low-complexity issue logic. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF in-order issue, instruction issue logic, wide-issue superscalar, out-of-order issue
15James J. Carrig Jr., Gerard G. L. Meyer A parameterized ordering for cache-, register- and pipeline-efficient Givens QR decomposition. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Givens, 65F25, superscalar processors, 65F05, 65Y10, QR algorithm
15Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
15Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte A Fast Interrupt Handling Scheme for VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue
15Jared Stark, Paul Racunas, Yale N. Patt Reducing the Performance Impact of Instruction Cache Misses by Writing Instructions into the Reservation Stations Out-of-Order. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF instruction supply, superscalar processors, out-of-order execution
15Chung-Ho Chen, Akida Wu Microarchitecture Support for Improving the Performance of Load Target Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF load target prediction, load-use stall, speculative data access, superscalar procesor, pipeline
15Kemal Ebcioglu, Erik R. Altman DAISY: Dynamic Compilation for 100% Architectural Compatibility. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF object code compatible VLIW, instruction-level parallelism, superscalar, binary translation, dynamic compilation
15Nelson L. Passos, Edwin Hsing-Mean Sha Achieving Full Parallelism Using Multidimensional Retiming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multidimensional data-flow graphs, instruction level parallelism, VLIW, Retiming, loop transformation, superscalar, nested loops
15Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling
Displaying result #601 - #700 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license