The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Vincent Le Chevalier, Marc Jaeger 0002, Xing Mei, Paul-Henry Cournède Simulation and Visualisation of Functional Landscapes: Effects of the Water Resource Competition Between Plants. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF landscape visualisation, plant growth models, natural phenomena simulation, water cycle models
17Yong Zhan, Sachin S. Sapatnekar High-Efficiency Green Function-Based Thermal Simulation Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ye Zhao 0004, Yiping Han, Zhe Fan, Feng Qiu, Yu-Chuan Kuo, Arie E. Kaufman, Klaus Mueller 0001 Visual Simulation of Heat Shimmering and Mirage. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal flow dynamics, heat shimmering, mirage, nonlinear ray tracing, lattice Boltzmann model, Heat transfer, GPU acceleration
17Panagiotis Tsiamyrtzis, Jonathan Dowdall, Dvijesh J. Shastri, Ioannis T. Pavlidis, M. G. Frank, P. Ekman Imaging Facial Physiology for the Detection of Deceit. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF polygraph, thermography, facial tracking, contact-free physiological monitoring, deception detection
17Tetsuo Tomizawa, Yoichi Morales Saiki, Akihisa Ohya, Shin'ichi Yuta Property Modifiable Discreet Active Landmarks. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Cosmin Popa Low-Voltage Low-Power Curvature-Corrected Voltage Reference Circuit Using DTMOSTs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Basab Datta, Wayne P. Burleson Low power on-chip thermal sensors based on wires. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kiran Puttaswamy, Gabriel H. Loh Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeonghwan Choi, Youngjae Kim 0001, Anand Sivasubramaniam, Jelena Srebric, Qian Wang, Joonwon Lee Modeling and Managing Thermal Profiles of Rack-mounted Servers with ThermoStat. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Zhigang Hua, Hua Hua, Jianhong Lu, Tiejun Zhang Research and application of a new predictive control based on state feedback theory in power plant control system. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Takehiro Kanno, Wenxi Chen, Masumi Kitazawa Development of i-appli for Women's Health Information Management System. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Taifu Li, Dong Xie, Renming Deng Monitoring for Healthy Sleep Based on Computational Intelligence Information Fusion. Search on Bibsonomy ICFIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-source Information Fusion, Fidget Model, Healthy Sleep, Neural Network
17Chong Sun, Li Shang, Robert P. Dick Three-dimensional multiprocessor system-on-chip thermal optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, 3D, multiprocessor system-on-chip, thermal
17Zhenhua Wang Adaptive analog biasing: a robustness-enhanced low-power technique for analog baseband design. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robustness enhancement, sensitivity reduction, low-power, low-energy, analog integrated circuits, biasing
17Aaron St. Leger, Juan C. Jiménez, Agung Fu, Sanal Djimbinov, Sa Em Soeurn, Sun Sit Lwin, Chika O. Nwankpa Analog Emulation of a Reconfigurable Tap Changing Transformer. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Lotfi A. Zadeh Granular Computing and Rough Set Theory. Search on Bibsonomy RSEISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Alex I. Martynenko, Simon X. Yang An Intelligent Control System for Thermal Processing of Biomaterials. Search on Bibsonomy ICNSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hyung Moo Kim, Jae Soo Yoo Correlation Rules and Ontologies Acquisition in Knowledge Extraction of Image. Search on Bibsonomy RR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LST, NDVI, Landsat ETM+, Classification, Correlation Analysis
17Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, Rakesh Vattikonda, Sarma B. K. Vrudhula, Frank Liu 0001, Yu Cao 0001 The Impact of NBTI on the Performance of Combinational and Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Peter Tiño Bifurcations of Renormalization Dynamics in Self-organizing Neural Networks. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rajarshi Mukherjee, Seda Ogrenci Memik An Integrated Approach to Thermal Management in High-Level Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ivona Bezáková, Daniel Stefankovic, Vijay V. Vazirani, Eric Vigoda Accelerating simulated annealing for the permanent and combinatorial counting problems. Search on Bibsonomy SODA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kyriakos Stavrou, Pedro Trancoso Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ozcan Ozturk 0001, Feng Wang 0004, Mahmut T. Kandemir, Yuan Xie 0001 Optimal topology exploration for application-specific 3D architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kaustav Banerjee, Sheng-Chih Lin, Navin Srivastava Electrothermal engineering in the nanometer era: from devices and interconnects to circuits and systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nodira Khoussainova, Magdalena Balazinska, Dan Suciu Towards correcting input data errors probabilistically using integrity constraints. Search on Bibsonomy MobiDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF entropy maximization, stream processing, data cleaning, probabilistic databases
17Reinhard Guthke, Olaf Kniemeyer, Daniela Albrecht, Axel A. Brakhage, Ulrich Möller Discovery of Gene Regulatory Networks in Aspergillus fumigatus . Search on Bibsonomy KDECB The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lide Fang, Wanling Zhang, Jinhai Li, Aimin Zhu A New Intelligence Dynamic Heat Meter. Search on Bibsonomy ISDA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nicolás J. Medrano-Marqués, Guillermo Zatorre, Santiago Celma Sensor compensation using analogue-digital adaptive circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Somsubhra Mondal, Rajarshi Mukherjee, Seda Ogrenci Memik Fine-grain thermal profiling and sensor insertion for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Soo-Jung Kim, Sun K. Yoo, Hyun-Ok Kim, Hasuk Bae, Jung-Jin Park, Kuk-Jin Seo, Byung-Chul Chang Smart Blood Bag Management System in a Hospital Environment. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Location tracking system, Blood, Sensor network, RFID
17Zhiyuan He 0002, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Greg M. Link, Narayanan Vijaykrishnan Thermal Trends in Emerging Technologies. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lotfi A. Zadeh Granular Computing - The Concept of Generalized Constraint-Based Computation. Search on Bibsonomy RSCTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sung Woo Chung, Kevin Skadron A Novel Software Solution for Localized Thermal Problems. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Luis E. Zárate, Elizabeth Marques Duarte Pereira Parametric Analysis of Solar Collectors Through Sensitivity Factors Via Artificial Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Daming Li, Ruo Li, Pingwen Zhang A Cellular Automaton Technique for the Modeling of Solidification Microstructure in Multi-Component Alloys. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yongpan Liu, Yu Wang 0002, Feng Zhang 0014, Rong Luo, Hui Wang 0004 A New Thermal-Conscious System-Level Methodology for Energy-Efficient Processor Voltage Selection. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha HybDTM: a coordinated hardware-software approach for dynamic thermal management. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid hardware-software management, thermal model, dynamic thermal management
17Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jason Cong, Yan Zhang Thermal-driven multilevel routing for 3-D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Karthik Balakrishnan, Vidit Nanda, Siddharth Easwar, Sung Kyu Lim Wire congestion and thermal aware 3D global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jonghan Kim, Eoksu Sim, Sungwon Jung An Automated Blowing Control System Using the Hybrid Concept of Case Based Reasoning and Neural Networks in Steel Industry. Search on Bibsonomy ISNN (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xiaobin Li, Ding Liu Modeling and Optimal for Vacuum Annealing Furnace Based on Wavelet Neural Networks with Adaptive Immune Genetic Algorithm. Search on Bibsonomy ICNC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qinghui Tang, Naveen Tummala, Sandeep K. S. Gupta, Loren Schwiebert TARA: Thermal-Aware Routing Algorithm for Implanted Sensor Networks. Search on Bibsonomy DCOSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17F. Alberta, Xiaoning Jiang, Paul Rehrig, Wesley Hackenberger Actuator Materials for Extreme Environments. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ian Theodore Young, Ventzeslav P. Iordanov, Heidi R. C. Dietrich, Andre Bossche Nanoliter array advances: miniaturized, high-speed PCR sensing & control. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Keith G. Tomazi Optimization of Batch Reactions in Series with Uncertainty. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chemical reaction kinetics, optimization with uncertainty, nonlinear programming, peptides
17Ahmet Yardimci, O. Celik Ultrasound Intensity and Treatment Time Fuzzy Logic Control System for Low Cost Effective Ultrasound Therapy Devices. Search on Bibsonomy Fuzzy Days The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yonghui Zhang, Cheng Shao, Qinghui Wu RBF Neural Networks-Based Software Sensor for Aluminum Powder Granularity Distribution Measurement. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Aluminum powder nitrogen atomizing, Aluminum powder granularity distribution, RBF Neural Networks, Software sensor
17Xuhua Yang, Zonghai Sun, Youxian Sun A Novel Fermentation Control Method Based on Neural Networks. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Masato Takagi, Junzo Watada, Naoyoshi Yubazaki Realization of a comfortable space based on Kansei engineering. Search on Bibsonomy SMC (7) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Wendan Xu, Donglai Xu, Ian French An Improved Band-Gap Voltage Reference Circuit Design for Multimedia VLSI Systems Integration Applications. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ferdinando Bedeschi, Edoardo Bonizzoni, Andrea Fantini, Claudio Resta, Guido Torelli A low-power low-voltage MOSFET-only voltage reference. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Laleh Najafizadeh, Igor M. Filanovsky A simple voltage reference using transistor with ZTC point and PTAT current source. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Junmou Zhang, Simon R. Cooper, Andrew R. LaPietra, Michael W. Mattern, Robert M. Guidash, Eby G. Friedman A low power thyristor-based CMOS programmable delay element. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Preetam Tadeparthy A CMOS bandgap reference with correction for device-to-device variation. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Dan W. Taylor, David Corne An Investigation of the Negative Selection Algorithm for Fault Detection in Refrigeration Systems. Search on Bibsonomy ICARIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Juan de Lara, Esther Guerra, Hans Vangheluwe Meta-Modelling, Graph Transformation and Model Checking for the Analysis of Hybrid Systems. Search on Bibsonomy AGTIVE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Multi-Paradigm, Model-Checking, Hybrid Systems, Meta-Modelling, Graph Rewriting
17Peng Zhao, José Nelson Amaral To Inline or Not to Inline? Enhanced Inlining Decisions. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Thermal Management of High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17George Kantor, Sanjiv Singh, Ronald A. Peterson, Daniela Rus, Aveek K. Das, Vijay Kumar 0001, Guilherme A. S. Pereira, John R. Spletzer Distributed Search and Rescue with Robot and Sensor Teams. Search on Bibsonomy FSR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ashish Syal, Victor Lee, André Ivanov, Josep Altet CMOS Differential and Absolute Thermal Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF differential thermal sensors, absolute thermal sensors, IDDQ, thermal testing
17Prasun K. Roy, John P. Miller 0001, D. Dutta Majumder A Control Analysis of Neuronal Information Processing: A Study of Electrophysiological Experimentation and Non-equilibrium Information Theory. Search on Bibsonomy AFSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen Polymorphic Electronics. Search on Bibsonomy ICES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ashish Syal, Victor Lee, André Ivanov, Josep Altet CMOS Differential and Absolute Thermal Sensors. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Danqing Chen, Erhong Li, Elyse Rosenbaum, Sung-Mo Kang Interconnect thermal modeling for accurate simulation of circuittiming and reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ching-Te Chuang "Cool low power" 1GHz multi-port register file and dynamic latch in 1.8 V, 0.25 mum SOI and bulk technology (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Zhiping Yu, Dan Yergeau, Robert W. Dutton, O. Sam Nakagawa, Norman Chang, Shen Lin, Weize Xie Full Chip Thermal Simulation. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF full chip, interconnect, SOI, thermal simulation
17Elvi Räisänen-Ruotsalainen, Timo Rahkonen, Juha Kostamovaara A BiCMOS time-to-digital converter with 30 ps resolution. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Yongsheng Ding, Hao Ying, Shihuang Shao Structure and stability analysis of a Takagi-Sugeno fuzzy PI controller with application to tissue hyperthermia therapy. Search on Bibsonomy Soft Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Benno H. Krabbenborg, A. Bosma, Henk C. de Graaff, Ton J. Mouthaan Layout to circuit extraction for three-dimensional thermal-electrical circuit simulation of device structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Walter R. Curtice Intrinsic GaAs MESFET equivalent circuit models generated from two-dimensional simulations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Yibin Wu, Zhengkun Qin, Juan Li, Xuesong Bai Primary Impact Evaluation of Surface Temperature Observations for Microwave Temperature Sounding Data Assimilation over Land. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Xin Meng, Haihua Chen, Jun Liu, Kun Ni, Lele Li Arctic Sea Ice Surface Temperature Inversion Using FY-3D/MWRI Brightness Temperature Data. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Fahime Arabi Aliabad, Ebrahim Ghaderpour, Mohammad Zare, Hamid Reza Ghafarian Malamiri A Comparative Study of Estimating Hourly Images of MODIS Land Surface Temperature Using Diurnal Temperature Cycle Models in Arid Regions. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yixin Duan, Liwei Chen, Xinzhi Zhou, Youan Shi, Nan Wu Boiler Furnace Temperature Field Measurement and Reconstruction Error Elimination Based on Temperature Field Residual Correction Network. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Guoshu Huang, Xiangyun Hu, Shuang Liu, Ronghua Peng, Junjun Zhou, Ningbo Bai, Liang Liu, Mangen Mu Deep Temperature-Field Prediction Utilizing the Temperature-Pressure-Coupled Resistivity Model: A Case Study in the Xiong'an New Area, China. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yujia Yang, Wei Zhao 0012, Yanqing Yang, Mengjiao Xu, Hamza Mukhtar, Ghania Tauqir, Paolo Tarolli An Annual Temperature Cycle Feature Constrained Method for Generating MODIS Daytime All-Weather Land Surface Temperature. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Haiping Xia, Yunhao Chen, Xiang Zhao 0004, Ben Wang A Local Temperature Unmixing-Based Fusion Model for Land Surface Temperature Spatiotemporal Enhancement. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Hang Liu, Yuying Li, Haoyuan Shen, Bo Wu, Yu Jin, Duli Yu, Heming Sun An Ultra-High Linear Digitization Temperature Sensor Based on SAR ADC With Common-Mode Temperature Drift Suppression. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Sven Beuchler, Ayhan Demircan, Bernhard Endtmayer, Uwe Morgner, Thomas Wick Mathematical modeling and numerical multigoal-oriented a posteriori error control and adaptivity for a stationary, nonlinear, coupled flow temperature model with temperature dependent density. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Jingrui Chu, Shilei Wang, Runlin Gan, Wenhang Wang, Baoren Li, Gang Yang Dynamic compensation by coupled triple-thermocouples for temperature measurement error of high-temperature gas flow. Search on Bibsonomy Trans. Inst. Meas. Control The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Marcela E. Mata-Romero, Omar A. Simental-Martínez, Héctor A. Guerrero-Osuna, Luis F. Luque-Vega, Emmanuel López-Neri, Gerardo Ornelas-Vargas, Rodrigo Castañeda-Miranda, Maria del Rosario Martinez-Blanco, Jesús Antonio Nava-Pintor, Fabian García-Vázquez A Low-Cost Wearable Device to Estimate Body Temperature Based on Wrist Temperature. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Meng Li, Weigen Chen, Zhiwei Shen, Ziyi Wang, Zifeng Ming, Changding Wang, Haoyuan Tian, Tianyi Sang, Ruimin Song Characterization of Temperature and Strain Changes in Lithium-Ion Batteries Based on a Hinged Differential Lever Sensitization Fiber Bragg Grating Strain-Temperature Simultaneous-Measurement Sensor. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Tao Liu, Tao Jiang, Gang Liu, Changsen Sun Temperature Uncertainty Reduction Algorithm Based on Temperature Distribution Prior for Optical Sensors in Oil Tank Ground Settlement Monitoring. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Hwigon Kim, Hyun-Sam Jung, Seung-Ki Sul Stator Winding Temperature and Magnet Temperature Estimation of IPMSM Based on High-Frequency Voltage Signal Injection. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Xi Li, Ke Zhang, Xin Li The Minimum Temperature Outweighed the Maximum Temperature in Determining Plant Growth over the Tibetan Plateau from 1982 to 2017. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Zhiwei Chen, Rong Jin 0002, Liqiang Zhang, Ke Chen 0014, Qingxia Li Conjoint Inversion of Snow Temperature Profiles from Microwave and Infrared Brightness Temperature in Antarctica. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Filipe Adão, Helder Fraga, André Fonseca, Aureliano C. Malheiro, João A. Santos The Relationship between Land Surface Temperature and Air Temperature in the Douro Demarcated Region, Portugal. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Afshin Afshari, Julian Vogel, Ganesh Chockalingam Statistical Downscaling of SEVIRI Land Surface Temperature to WRF Near-Surface Air Temperature Using a Deep Learning Model. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Minghua Wang, Junping Chen, Jie Han, Yize Zhang, Mengtian Fan, Miao Yu, Chengzhi Sun, Tao Xie Region-Specific and Weather-Dependent Characteristics of the Relation between GNSS-Weighted Mean Temperature and Surface Temperature over China. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jian Zhu, Bo-Tao Wang, Tao Liang, Yu Yang, Chang Sun, Ming Ding A High-Precision Temperature Measurement Method Through Correcting Reflected Radiation Under High-Temperature Background. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Pengyuan Guan, Shuangxi Li, Haibo Jiang, Pengfei Xiang Study on Temperature Field Distribution Law and Mechanical Properties of Hydraulic Tunnel-Surrounding Rock under the Action of Large Temperature Differences. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Zi-Qi Zeng, Jianguo Hu, Jing Wu 0009, Qinghua Zhong, Deming Wang A High Precision Analog Temperature Compensated Crystal Oscillator Using a New Temperature Compensated Multiplier. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jun Park, Changhoon Lee TPTNet: A Data-Driven Temperature Prediction Model Based on Turbulent Potential Temperature. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Li Ma, Hao Yan, Cunkun Cai, Yukai Ren Temperature drift mechanism of deflector jet servo valve under temperature shock. Search on Bibsonomy J. Syst. Control. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Yiming Ma, Yang Liu, Zezheng Qin, Yi Shen, Mingjian Sun Mild-temperature photothermal treatment method and system based on photoacoustic temperature measurement and control. Search on Bibsonomy Biomed. Signal Process. Control. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license