The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for thread with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1988 (18) 1989-1990 (15) 1991 (16) 1992-1993 (27) 1994 (20) 1995 (31) 1996 (28) 1997 (48) 1998 (59) 1999 (93) 2000 (107) 2001 (98) 2002 (142) 2003 (157) 2004 (180) 2005 (247) 2006 (283) 2007 (313) 2008 (328) 2009 (314) 2010 (163) 2011 (109) 2012 (89) 2013 (99) 2014 (117) 2015 (114) 2016 (112) 2017 (82) 2018 (111) 2019 (105) 2020 (109) 2021 (87) 2022 (73) 2023 (86) 2024 (20)
Publication types (Num. hits)
article(850) book(5) data(3) incollection(15) inproceedings(3079) phdthesis(47) proceedings(1)
Venues (Conferences, Journals, ...)
IPDPS(109) CoRR(103) PPoPP(66) ISCA(57) PACT(57) HPCA(49) Euro-Par(47) MICRO(47) ASPLOS(46) IEEE Trans. Parallel Distribut...(46) PLDI(46) ICPP(44) ICS(43) ICCD(30) Conf. Computing Frontiers(29) ACM Trans. Archit. Code Optim.(28) More (+10 of total 1202)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3438 occurrences of 1368 keywords

Results
Found 4000 publication records. Showing 4000 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Stephen W. Keckler, William J. Dally, Daniel Maskit, Nicholas P. Carter, Andrew Chang 0001, Whay Sing Lee Exploiting Fine-grain Thread Level Parallelism on the MIT Multi-ALU Processor. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Manuel M. T. Chakravarty Lazy Thread and Task Creation in Parallel Graph-Reduction. Search on Bibsonomy Implementation of Functional Languages The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Yuan-Pin Yu Object Oriented Teleconsultations in Global Pacs Using Multi-Thread Java. Search on Bibsonomy HICSS (4) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Bodhisattwa Mukherjee, Karsten Schwan Implementation of Scalable Blocking Locks Using an Adaptive Thread Scheduler. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Phillip M. Dickens, Matthew Haines, Piyush Mehrotra, David M. Nicol Towards a Thread-Based Parallel Direct Execution Simulator. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Wim Van de Velde, Johan Opsommer, Erik H. D'Hollander Performance Modeling of Microkernel Thread Schedulers for Shared Memory Multiprocessors. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18John C. Mazziotta, H. K. Huang THREAD (three-dimensional reconstruction and display) with biomedical applications in neuron ultrastructure and computerized tomography. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
17Jean-Phillipe Martin, Michael Hicks 0001, Manuel Costa, Periklis Akritidis, Miguel Castro 0001 Dynamically checking ownership policies in concurrent c/c++ programs. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF security, testing, concurrency, debugging, tools, dynamic analysis
17Peter W. O'Hearn, Noam Rinetzky, Martin T. Vechev, Eran Yahav, Greta Yorsh Verifying linearizability with hindsight. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hindsight, linearizability, wait-freedom, optimistic concurrency
17Jaeheon Yi, Cormac Flanagan Effects for cooperable and serializable threads. Search on Bibsonomy TLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF atomicity, yield, race conditions, effect system
17Jih-Ching Chiu, Yu-Liang Chou, Ding-Siang Su A hyperscalar multi-core architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmps, dynamic multi-core chips, reconfigurable multi-core architectures, chip multiprocessors
17Yasuko Watanabe, John D. Davis, David A. Wood 0001 WiDGET: Wisconsin decoupled grid execution tiles. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF instruction steering, power proportional computing, performance, hardware, power efficiency
17Stijn Eyerman, Lieven Eeckhout Probabilistic job symbiosis modeling for SMT processor scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simultaneous multi-threading (smt), symbiotic job scheduling, performance modeling
17Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Adrian Nistor, Darko Marinov, Josep Torrellas Light64: lightweight hardware support for data race detection during systematic testing of parallel programs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF execution history hash, data race, systematic testing
17Philippe Stellwag, Alexander Ditter, Wolfgang Schröder-Preikschat A wait-free queue for multiple enqueuers and multiple dequeuers using local preferences and pragmatic extensions. Search on Bibsonomy SIES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Magnus Jahre, Lasse Natvig A light-weight fairness mechanism for chip multiprocessor memory systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic miss handling architecture, miss status holding register, fairness, chip multiprocessor, interference, mechanism
17Chen Liu 0001, Jean-Luc Gaudiot The Impact of Resource Sharing Control on the Design of Multicore Processors. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17K. Rustan M. Leino, Peter Müller 0001, Jan Smans Verification of Concurrent Programs with Chalice. Search on Bibsonomy FOSAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Luke J. Gosink, Kesheng Wu, E. Wes Bethel, John D. Owens, Kenneth I. Joy Data Parallel Bin-Based Indexing for Answering Queries on Multi-core Architectures. Search on Bibsonomy SSDBM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yuxiong He, Wen-Jing Hsu, Charles E. Leiserson Provably Efficient Online Nonclairvoyant Adaptive Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mladen Berekovic, Tim Niggemeier A Distributed, Simultaneously Multi-Threaded (SMT) Processor with Clustered Scheduling Windows for Scalable DSP Performance. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, distributed computing, DSP, MPEG-4, multi-threading, processor architecture, SMT
17Marcus Völp, Claude-Joachim Hamann, Hermann Härtig Avoiding timing channels in fixed-priority schedulers. Search on Bibsonomy AsiaCCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF security, real-time, information flow, fixed-priority scheduling, noninterference
17Rahul Kumar, Amith R. Mamidala, Matthew J. Koop, Gopalakrishnan Santhanaraman, Dhabaleswar K. Panda 0001 Lock-Free Asynchronous Rendezvous Design for MPI Point-to-Point Communication. Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yung-Pin Cheng, Han-Shu Chen SoftMon: programmable software monitoring with minimum overhead by helper-threading. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core CPU, monitoring, SMP, dynamic program analysis, helper threading
17Samantika Subramaniam, Milos Prvulovic, Gabriel H. Loh PEEP: Exploiting predictability of memory dependences in SMT processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hongtao Zhong, Mojtaba Mehrara, Steven A. Lieberman, Scott A. Mahlke Uncovering hidden loop level parallelism in sequential applications. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kyle B. Wheeler, Richard C. Murphy, Douglas Thain Qthreads: An API for programming with millions of lightweight threads. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nikos Anastopoulos, Nectarios Koziris Facilitating efficient synchronization of asymmetric threads on hyper-threaded processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Madan Musuvathi Systematic concurrency testing using CHESS. Search on Bibsonomy PADTAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, concurrency, race conditions
17Héctor A. Moreno, Roque J. Saltarén, Manuel Ferre, Eugenio Yime, Rafael Aracil, Isela Carrera Multibody Dynamics Model of a Human Hand for Haptics Interaction. Search on Bibsonomy EuroHaptics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF haptics and parallel computing, Multibody dynamics
17Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
17Bumyong Choi, Leo Porter 0001, Dean M. Tullsen Accurate branch prediction for short threads. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, branch prediction
17Sherif Fadel Fahmy, Binoy Ravindran, E. Douglas Jensen Fast Scheduling of Distributable Real-Time Threads with Assured End-to-End Timeliness. Search on Bibsonomy Ada-Europe The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zhiyi Huang 0001, Andrew Trotman, Jiaqi Zhang, Xiangfei Jia, Mariusz Nowostawski, Nathan Rountree, Paul Werstein Virtual Aggregated Processor in Multi-core Computers. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Aysu Betin-Can, Tevfik Bultan, Mikael Lindvall, Benjamin Lux, Stefan Topp Eliminating synchronization faults in air traffic control software via design for verification with concurrency controllers. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Model checking, Synchronization, Design patterns, Interfaces, Concurrent programming
17Michael Gschwind The Cell Broadband Engine: Exploiting Multiple Levels of Parallelism in a Chip Multiprocessor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute-transfer parallelism, multi-level application parallelism, Chip multiprocessor, Cell Broadband Engine, heterogeneous chip multiprocessor
17Alan Morris, Allen D. Malony, Sameer Shende Supporting Nested OpenMP Parallelism in the TAU Performance System. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TAU, OpenMP, Nested parallelism
17Alexandra Fedorova, Margo I. Seltzer, Michael D. Smith 0001 Improving Performance Isolation on Chip Multiprocessors via an Operating System Scheduler. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Michael Cammert, Christoph Heinz, Jürgen Krämer, Bernhard Seeger, Sonny Vaupel, Udo Wolske Flexible Multi-Threaded Scheduling for Continuous Queries over Data Streams. Search on Bibsonomy ICDE Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Matthew J. Bridges, Neil Vachharajani, Yun Zhang 0005, Thomas B. Jablin, David I. August Revisiting the Sequential Programming Model for Multi-Core. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Erik K. Anderson, Wesley Peck, Jim Stevens, Jason Agron, Fabrice Baijot, Seth Warn, David Andrews 0001 Supporting High Level Language Semantics Within Hardware Resident Threads. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hyoseung Kim 0001, Hojung Cha Multithreading Optimization Techniques for Sensor Network Operating Systems. Search on Bibsonomy EWSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor network operating system, multithreading optimization technique
17Ge Gan, Ziang Hu, Juan del Cuvillo, Guang R. Gao Exploring a Multithreaded Methodology to Implement a Network Communication Protocol on the Cyclops-64 Multithreaded Architecture. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Arrvindh Shriraman, Michael F. Spear, Hemayet Hossain, Virendra J. Marathe, Sandhya Dwarkadas, Michael L. Scott An integrated hardware-software approach to flexible transactional memory. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RSTM, multiprocessors, transactional memory, cache coherence
17Kyungwoo Lee, Xing Fang, Samuel P. Midkiff Practical escape analyses: how good are they? Search on Bibsonomy VEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analysis precision, Java, dynamic compilation, escape analysis
17Xuemei Zhao, Karl Sammut, Fangpo He Performance Evaluation of a Novel CMP Cache Structure for Hybrid Workloads. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jung Ho Ahn, William J. Dally Data parallel address architecture. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso Data-Driven Multithreading Using Conventional Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nonblocking threads, multiprocessors, high performance computing, multithreading, network of workstations, Dataflow, cache prefetching
17Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
17Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Juan del Cuvillo, Weirong Zhu, Ziang Hu, Guang R. Gao Toward a Software Infrastructure for the Cyclops-64 Cellular Architecture. Search on Bibsonomy HPCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wenlong Li, Eric Q. Li, Ran Meng, Tao Wang 0003, Carole Dulong Performance analysis of Java concurrent programming: a case study of video mining system. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lukasz Ziarek, Philip Schatz, Suresh Jagannathan Stabilizers: a modular checkpointing abstraction for concurrent functional programs. Search on Bibsonomy ICFP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transactions, checkpointing, concurrent programming, exception handling, error recovery, concurrent ML
17Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking
17Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chih-Heng Kang, Tien-Fu Chen, Jiun-In Guo Collaborative Multithreading: An Open Scalable Processor Architecture for Embedded Multimedia Applications. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Michael Gschwind Chip multiprocessing and the cell broadband engine. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compute-transfer parallelism (CTP), cell broadband engine, memory-level parallelism (MLP), chip multiprocessing, heterogeneous chip multiprocessor
17Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten Modeling Cache Sharing on Chip Multiprocessor Architectures. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Joseph J. Sharkey, Dmitry Ponomarev 0001 Balancing ILP and TLP in SMT Architectures through Out-of-Order Instruction Dispatch. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Swapna S. Gokhale, Paul J. Vandal, Jijun Lu Performance and Reliability Analysis ofWeb Server Software Architectures. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Koushik Chakraborty, Philip M. Wells, Gurindar S. Sohi Computation spreading: employing hardware migration to specialize CMP cores on-the-fly. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic specialization, cache locality
17Xiangrong Zhou, Peter Petrov Rapid and low-cost context-switch through embedded processor customization for real-time and control applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yuxiong He, Wen-Jing Hsu, Charles E. Leiserson Provably Efficient Two-Level Adaptive Scheduling. Search on Bibsonomy JSSPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Julia Chen, Philo Juang, Kevin Ko, Gilberto Contreras, David Penry, Ram Rangan, Adam Stoler, Li-Shiuan Peh, Margaret Martonosi Hardware-modulated parallelism in chip multiprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Resit Sendag, Ying Chen, David J. Lilja The Impact of Incorrectly Speculated Memory Operations in a Multithreaded Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mispredicted loads, wrong execution, wrong execution cache, prefetching, Speculation, multithreaded architecture
17Martin Gasbichler, Michael Sperber Integrating User-Level Threads with Processes in Scsh. Search on Bibsonomy High. Order Symb. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Unix, Scheme, threads, continuations
17Ilya Ganusov, Martin Burtscher Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17M. Wasiur Rashid, Edwin J. Tan, Michael C. Huang 0001, David H. Albonesi Exploiting Coarse-Grain Verification Parallelism for Power-Efficient Fault Tolerance. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Taku Ohsawa, Masamichi Takagi, Shoji Kawahara, Satoshi Matsushita Pinot: Speculative Multi-threading Processor Architecture Exploiting Parallelism over a Wide Range of Granularities. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Uwe Brinkschulte, Mathias Pacher Implementing Control Algorithms Within a Multithreaded Java Microcontroller. Search on Bibsonomy ARCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Komodo microcontroller, multithreaded Java microcontroller, GP scheduling, IPC rate, PID controller
17Michael F. Ringenburg, Dan Grossman AtomCaml: first-class atomicity via rollback. Search on Bibsonomy ICFP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF transactions, concurrent programming, atomicity, objective caml
17Yang Chang, Andy J. Wellings Integrating Hybrid Garbage Collection with Dual Priority Scheduling. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Rajkishore Barik Efficient Computation of May-Happen-in-Parallel Information for Concurrent Java Programs. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Marco Bernardo 0001, Edoardo Bontà Preserving Architectural Properties in Multithreaded Code Generation. Search on Bibsonomy COORDINATION The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Uwe Brinkschulte, Mathias Pacher Improving the Real-time Behaviour of a Multithreaded Java Microcontroller by Control Theory and Model Based Latency Prediction. Search on Bibsonomy WORDS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Pen-Chung Yew Using Speculative Multithreading for General-Purpose Applications. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Keith L. Clark, Peter J. Robinson 0001, Silvana Zappacosta-Amboldi Multi-threaded Communicating Agents in Qu-Prolog (Tutorial Paper). Search on Bibsonomy CLIMA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ohad Shacham, Mooly Sagiv, Assaf Schuster Scaling model checking of dataraces using dynamic information. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datarace, lockset, model checking, multithreading, data race detection
17Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
17Andy J. Wellings, Gregory Bollella, Peter C. Dibble, David Holmes Cost Enforcement and Deadline Monitoring in the Real-Time Specification for Java. Search on Bibsonomy ISORC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yue Yang, Ganesh Gopalakrishnan, Gary Lindstrom Memory-Model-Sensitive Data Race Analysis. Search on Bibsonomy ICFEM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Weihang Jiang, Jiuxing Liu, Hyun-Wook Jin, Dhabaleswar K. Panda 0001, Darius Buntinas, Rajeev Thakur, William D. Gropp Efficient Implementation of MPI-2 Passive One-Sided Communication on InfiniBand Clusters. Search on Bibsonomy PVM/MPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Antonia Zhai, Christopher B. Colohan, J. Gregory Steffan, Todd C. Mowry Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Erik Norden Keynote: Multithreading for Low-Cost, Low-Power Applications. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Michael Van Biesbrouck, Timothy Sherwood, Brad Calder A co-phase matrix to guide simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Won Woo Ro, Jean-Luc Gaudiot SPEAR: A Hybrid Model for Speculative Pre-Execution. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Wlodzimierz M. Zuberek Enhanced Interleaved Multithreaded Multiprocessors and Their Performance Analysis. Search on Bibsonomy ACSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Interleaved multithreaded architectures, performance analysis, timed Petri nets, distributed-memory multiprocessors, event-driven simulation
17Xiaotong Zhuang, Santosh Pande Balancing register allocation across threads for a multithreaded network processor. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register allocation, network processor, multithreaded processor
17Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
17Tzung-Rei Yang, Jong-Jiann Shieh Dynamic Fetch Engine for Simultaneous Multithreaded Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
17Fernanda B. Viégas, Marc A. Smith Newsgroup Crowds and AuthorLines: Visualizing the Activity of Individuals in Conversational Cyberspaces. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Wlodzimierz M. Zuberek Modeling and Analysis of Dual Block Multithreading. Search on Bibsonomy FORTE Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction issuing, event–driven simulation, performance analysis, timed Petri nets, pipelined processors, Block multithreading
17Adam Welc, Antony L. Hosking, Suresh Jagannathan Preemption-Based Avoidance of Priority Inversion for Java. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Julien Lenoir, Philippe Meseure, Laurent Grisoni, Christophe Chaillou A Suture Model for Surgical Simulation. Search on Bibsonomy ISMS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jasvir Nagra, Clark D. Thomborson Threading Software Watermarks. Search on Bibsonomy Information Hiding The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 4000 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license