The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Makoto Shimojo, Takuma Araki, Seiichi Teshigawara, Aiguo Ming, Masatoshi Ishikawa A net-structure tactile sensor covering free-form surface and ensuring high-speed response. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Charbel J. Akl, Magdy A. Bayoumi Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition skew coding, global on-chip interconnects, bus encoding technique, encoding latencies, decoding latencies, 90 nm
9Sung-Tze Wu, Chih-Hao Chao, I-Chyn Wey, An-Yeu Wu Dynamic Channel Flow Control of Networks-on-Chip Systems for High Buffer Efficiency. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Cheng Wang, Chunjiang Zhao 0001, Xiaojun Qiao, Xin Zhang, Yunhe Zhang The Design of Wireless Sensor Networks Node for Measuring the Greenhouse's Environment Parameters. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF environment parameters, wireless sensor networks, node, greenhouse, msp430
9Sebastian Voss Scheduling in Time-Triggered Networks. Search on Bibsonomy OTM Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Daniel Oberhoff, Marina Kolesnik Neural Object Recognition by Hierarchical Learning and Extraction of Essential Shapes. Search on Bibsonomy BVAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jeff L. Cobb, Rajesh Garg, Sunil P. Khatri A methodology for interconnect dimension determination. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hsing-bung Chen, Gary Grider, Parks Fields A Cost-Effective, High Bandwidth Server I/O network Architecture for Cluster Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum, Mohammad M. Mojarradi, Srinivas Katkoori, Taher Daud Adaptive and Evolvable Analog Electronics for Space Applications. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive Hardware, Field Programmable Arrays
9Luís Soares Barbosa, Jácome Cunha, Joost Visser 0001 A type-level approach to component prototyping. Search on Bibsonomy SYANCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF type-level programming, Haskell, coalgebra, mealy machine, combinator library
9Holger Klus, Dirk Niebuhr, Andreas Rausch A component model for dynamic adaptive systems. Search on Bibsonomy ESSPE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF component container, adaptation, component model, component composition, componentware
9Saumitra M. Das, Konstantina Papagiannaki, Suman Banerjee 0001, Y. C. Tay SWARM: self-organization of community wireless mesh networks. Search on Bibsonomy CoNEXT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Dariusz Koscielnik The Structure of a Control Network Integrated with the S-bus of an ISDN Network. Search on Bibsonomy ICN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field network, controll network, S-bus, system integration
9Holger Klus, Dirk Niebuhr, Andreas Rausch Towards a Component Model supporting Proactive Configuration of Service-Oriented Systems. Search on Bibsonomy ICEBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Miwa Nakanishi, Mugihiko Ozeki, Toshiya Akasaka, Yusaku Okada Human factor requirements for Applying Augmented reality to manuals in actual work situations. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yuhong Fu, Rajendran Panda, Ben Reschke, Savithri Sundareswaran, Min Zhao 0001 A novel technique for incremental analysis of on-chip power distribution networks. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Akira Mochizuki, Masatomo Miura, Takahiro Hanyu High-Performance Multiple-Valued Comparator Based on Active-Load Dual-Rail Differential Logic for Crosstalk-Noise Reduction. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yasushi Yuminaka, Kazuyoshi Yamamura Equalization Techniques for Multiple-Valued Data Transmission and Their Application. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yasutoshi Makino, Hiroyuki Shinoda Comfortable Wristband Interface Measuring Myoelectric Pattern. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sheng Sun, Carl Sechen Post-layout comparison of high performance 64b static adders in energy-delay space. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Pietro Michiardi, Paul Marrow, Richard Tateson, Fabrice Saffre Aggregation Dynamics in Service Overlay Networks. Search on Bibsonomy SASO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Santosh Shah, Arani Sinha, Li Song, Narain D. Arora On-Chip Inductance in X Architecture Enabled Design. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hongjie Bai, Sheqin Dong, Xianlong Hong Congestion Driven Buffer Planning for X-Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Javid Jaffari, Mohab Anis Thermal-Aware Placement for FPGAs Using Electrostatic Charge Model. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Peng Li, Simon Marlow, Simon L. Peyton Jones, Andrew P. Tolmach Lightweight concurrency primitives for GHC. Search on Bibsonomy Haskell The full citation details ... 2007 DBLP  DOI  BibTeX  RDF concurrency, transactional memory, haskell, thread
9Leonard G. C. Hamey, Timothy Watkins, Simon Wong Too Yen Pancam: In-Service Inspection of Locomotive Pantographs. Search on Bibsonomy DICTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jongman Kim, Chrysostomos Nicopoulos, Dongkook Park, Reetuparna Das, Yuan Xie 0001, Narayanan Vijaykrishnan, Mazin S. Yousif, Chita R. Das A novel dimensionally-decomposed router for on-chip communication in 3D architectures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D architecture, 3D integration, network-on-chip (NoC)
9Hiroyuki Torikai Fundamental Analysis of a Digital Spiking Neuron for Its Spike-Based Coding. Search on Bibsonomy ICONIP (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Roman Obermaisser Reuse of CAN-Based Legacy Applications in Time-Triggered Architectures. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Marc Vass, Clifford A. Shaffer, Naren Ramakrishnan, Layne T. Watson, John J. Tyson The JigCell Model Builder: A Spreadsheet Interface for Creating Biochemical Reaction Network Models. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Biochemical reaction networks, user interface paradigms, modeling, bioinformatics
9Michihiro Koibuchi, Kenichiro Anjo, Yutaka Yamada, Akiya Jouraku, Hideharu Amano A Simple Data Transfer Technique Using Local Address for Networks-on-Chips. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF table-lookup routing, interconnection networks, Networks-on-chips, streaming processing, reconfigurable systems, on-chip interconnects
9Qinghua Liu, Malgorzata Marek-Sadowska Semi-Individual Wire-Length Prediction With Application to Logic Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Haldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda Impact of stress-induced backflow on full-chip electromigration risk assessment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Stefano Galli, Thomas C. Banwell A deterministic frequency-domain model for the indoor power line transfer function. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Marc Kuhn, Stefan Berger, Ingmar Hammerström, Armin Wittneben Power line enhanced cooperative wireless communications. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Fadi N. Karameh, Munther A. Dahleh, Emery N. Brown, Steve G. Massaquoi Modeling the contribution of lamina 5 neuronal and network dynamics to low frequency EEG phenomena. Search on Bibsonomy Biol. Cybern. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ethiopia Nigussie, Juha Plosila, Jouni Isoaho Delay-Insensitive On-Chip Communication Link using Low-Swing Simultaneous Bidirectional Signaling. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Anne-Claude Gavin Global Interaction Networks Probed by Mass Spectrometry. Search on Bibsonomy RECOMB The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yohei Matsumoto, Hanpei Koike, Akira Masaki FPGAs with multidimensional mesh topology. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hayder Mrabet, Zied Marrakchi, Pierre Souillot, Habib Mehrez A multilevel hierarchical interconnection structure for FPGA. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christian Beaulieu The biological basis of diffusion tractography. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9J. Balachandran, Steven Brebels, Geert Carchon, Tomas Webers, Walter De Raedt, Bart Nauwelaers, Eric Beyne Analysis and modeling of power grid transmission lines. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Constraint-driven bus matrix synthesis for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Eric Wade, H. Harry Asada DC Behavior of Conductive Fabric Networks with Application to Wearable Sensor Nodes. Search on Bibsonomy BSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen Efficient generation of short and fast repeater tree topologies. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inverter tree, repeater tree, buffering, tree topology, rectilinear Steiner tree
9Valerio Plessi, Filippo Bastianini, Sahra Sedigh-Ali An Autonomous and AdaptableWireless Device for Flood Monitoring. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Roman Obermaisser, Philipp Peti Realization of virtual networks in the DECOS integrated architecture. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jun Xu, Reza Sotudeh, Mark B. Josephs Asynchronous Packet-Switching for Networks-on-Chip. Search on Bibsonomy ACSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Nachiket Kapre, Nikil Mehta, Michael DeLorimier, Raphael Rubin, Henry Barnor, Michael J. Wilson, Michael G. Wrighton, André DeHon Packet Switched vs. Time Multiplexed FPGA Overlay Networks. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sungyun Jung, Sung-oh Yang, Kwang-Ryul Baek Study on Inter-operability Unit for an Automobile Network. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Zhongsheng Hua, Feihua Huang A Hybrid Heuristic for PWB Capacity Expansion Problem. Search on Bibsonomy ICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hayder Mrabet, Zied Marrakchi, Pierre Souillot, Habib Mehrez Performances improvement of FPGA using novel multilevel hierarchical interconnection structure. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing application-specific networks on chips with floorplan information. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF networks on chips, topology, floorplan, deadlock-free routing
9Dirk Müller 0003 Optimizing yield in global routing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Steiner tree packing, multi-commodity flows, yield optimization, VLSI routing
9Prashant Saxena The scaling of interconnect buffer needs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, scaling, buffers, repeaters
9J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Constant impedance scaling paradigm for interconnect synthesis. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transmission lines, interconnect optimization, global interconnects
9Martin Siebenhaller Partitioned Drawings. Search on Bibsonomy GD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Markus Lumpe, Jean-Guy Schneider On the Integration of Classboxes into C#. Search on Bibsonomy SC@ETAPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jun Ohta, Takahashi Tokuda, Keiichiro Kagawa, Akihiro Uehara, Yasuo Terasawa, Kenzo Shodo, Takashi Fujikado, Yasuo Tano Toward 1000-ch electrode array based on distributed microchip architecture for retinal prosthesis. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9S. P. Shang, Xiaodong Hu 0001, Tong Jing Average lengths of wire routing under M-architecture and X-architecture. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Samy M. Boshra, Hazem M. Abbas, Ahmed M. Darwish 0001, Ihab E. Talkhan Performance and routability improvements for routability-driven FPGA routers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hsin-Hsiung Huang, Yung-Ching Chen, Tsai-Ming Hsieh A congestion-driven buffer planner with space reservation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ethiopia Nigussie, Juha Plosila, Jouni Isoaho Full-duplex link implementation using dual-rail encoding and multiple-valued current-mode logic. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Giorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos An Energy-Delay Efficient Subword Permutation Unit. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Xu Zhang, Xiaohong Jiang 0001, Susumu Horiguchi A non-orthogonal clock distribution network and its performance evaluation in presence of process variations and inductive effects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF H-Tree, X Architecture, performance evluation, variant X-Tree, clock distribution network
9Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
9Jean-Marc Philippe, Sébastien Pillement, Olivier Sentieys Area Efficient Temporal Coding Schemes for Reducing Crosstalk Effects. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christoph Heller, Josef Schalk, Stefan Schneele, Maria Sorea, Sebastian Voss Flexible Communication Architecture for Dependable Time-Triggered Systems. Search on Bibsonomy WADS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Masashi Toda, Junichi Akita, Shigeru Sakurazawa, Keisuke Yanagihara, Mihoko Kunita, Kunio Iwata Wearable Biomedical Monitoring System Using TextileNet. Search on Bibsonomy SWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Simon Ogg, Bashir M. Al-Hashimi Improved Data Compression for Serial Interconnected Network on Chip through Unused Significant Bit Removal. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mireille Grégoire, Elmar Schömer Interactive simulation of one-dimensional flexible parts. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cable simulation, cosserat model, modelling of torsion
9Massoud Masoumi, Farshid Raissi, Mahmoud Ahmadian NanoCMOS-Molecular Realization of Rijndael. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI realization, CMOL, Rijndael
9Jin-Tai Yan, Zhi-Wei Chen, Chia-Wei Wu, Ming-Yuen Wu Optimal Network Analysis in Hierarchical Power Quad-Grids. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9A. B. Aljunaid, I. AbuElMaaly, Assim Sagahyroon Using ANN To Predict The Best HUB Location. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chirag S. Patel Silicon carrier for computer systems. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chip-package co-design, electrical modeling, micro-bumps, silicon carrier, computer system, CMOS scaling, system on package
9Kaustav Banerjee, Navin Srivastava Are carbon nanotubes the future of VLSI interconnections? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI, interconnects, carbon nanotubes
9Magnus J. E. Richardson, Ofer Melamed, Gilad Silberberg, Wulfram Gerstner, Henry Markram Short-Term Synaptic Plasticity Orchestrates the Response of Pyramidal Cells and Interneurons to Population Bursts. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF population bursts, cortical microcircuit, dynamic synapses, interneurons
9Ajay Joshi, Jeffrey A. Davis Wave-pipelined multiplexed (WPM) routing for gigascale integration (GSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Friedrich W. Kistermann Hollerith Punched Card System Development (1905-1913). Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mehdi Baradaran Tahoori, Subhasish Mitra Application-independent testing of FPGA interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jaskirat Singh, Sachin S. Sapatnekar Congestion-aware topology optimization of structured power/ground networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Peter Kornerup Reviewing 4-to-2 Adders for Multi-Operand Addition. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF redundant adders, digit sets, digit encodings, multiplier trees
9William H. Robinson, D. Scott Wills Efficiency Analysis for a Mixed-Signal Focal Plane Processing Architecture. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF focal plane processing, digital pixel, area-time efficiency, energy efficiency, single-instruction multiple-data (SIMD), area efficiency
9Giorgos Dimitrakopoulos, Dimitris Nikolos Closed-Form Bounds for Interconnect-Aware Minimum-Delay Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Qinghua Liu, Malgorzata Marek-Sadowska Wire length prediction-based technology mapping and fanout optimization. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prediction, congestion, wire length
9Hongkyu Kim, D. Scott Wills, Linda M. Wills Technology-based Architectural Analysis of Operand Bypass Networks for Efficient Operand Transport. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Sharareh Babvey, José Alberto Fernández-Zepeda, Anu G. Bourgeois, Steven W. McLaughlin An Efficient R-Mesh Implementation of LDPC Codes Message-Passing Decoder. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mehrdad Reshadi, Daniel Gajski A cycle-accurate compilation algorithm for custom pipelined datapaths. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NISC, cycle-accurate compiler, scheduling
9Sharmila Ravula, Ji Eun Kim, Brad Petrus, Christoph Stoermer Quality Attributes in Wireless Sensor Networks. Search on Bibsonomy SEUS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Kyung-Bae Chang, Il-Joo Shim, Tae-Kook Kim, Gwi-Tae Park System Integration Using Embedded Web Server and Wireless Communication. Search on Bibsonomy DCOSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9J. Balachandran, Steven Brebels, Geert Carchon, Tomas Webers, Walter De Raedt, Bart Nauwelaers, Eric Beyne Package level interconnect options. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance metrics, package, transmission lines, global interconnects
9Ron Ho High-performance ULSI: the real limiter to interconnect scaling. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, wireless, 3D, scaling, proximity, repeaters, wires
9Sharareh Babvey, Anu G. Bourgeois, José Alberto Fernández-Zepeda, Steven W. McLaughlin A Parallel Implementation of the Message-Passing Decoder of LDPC Codes Using a Reconfigurable Optical Model. Search on Bibsonomy SNPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optical buses, message-passing decoder, Reconfigurable architectures, LDPC codes
9Jürgen Fischer, Philip Teichmann, Doris Schmitt-Landsiedel Scaling trends in adiabatic logic. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, energy recovery, adiabatic computing
9Hsin-Chou Chi, Chia-Ming Wu Efficient Switches for Network-on-Chip Based Embedded Systems. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ajay Joshi, Jeffrey A. Davis Wave-pipelined 2-slot time division multiplexed (WP/2-TDM) routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect sharing, time division, wave-pipelining
9Navin Srivastava, Xiaoning Qi, Kaustav Banerjee Impact of On-chip Inductance on Power Distribution Network Design for Nanometer Scale Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Atul Katoch, Maurice Meijer, Sanjeev K. Jain Active Noise Cancellation Using Aggressor-Aware Clamping Circuit for Robust On-Chip Communication. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license