The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DDECS"( http://dblp.L3S.de/Venues/DDECS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ddecs

Publication years (Num. hits)
2006 (79) 2007 (80) 2008 (74) 2009 (61) 2010 (92) 2011 (92) 2012 (85) 2013 (68) 2014 (67) 2015 (60) 2016 (46) 2017 (38) 2018 (31) 2019 (36) 2020 (35) 2021 (32) 2022 (31) 2023 (36)
Publication types (Num. hits)
inproceedings(1025) proceedings(18)
Venues (Conferences, Journals, ...)
DDECS(1043)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1043 publication records. Showing 1043 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sebastian Arming, Roman Fenkhuber, Thomas Handl Data compression in hardware - The Burrows-Wheeler approach. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Farid Lahrach, Abderrazek Abdaoui, Abderrahim Doumar, Eric Châtelet A novel SRAM-based FPGA architecture for defect and fault tolerance of configurable logic blocks. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Carlos Leong, Pedro Machado, Vasco Bexiga, João Paulo Teixeira 0001, Isabel C. Teixeira, José C. Silva, Pedro Lousã, João Varela Built-in Clock Domain Crossing (CDC) test and diagnosis in GALS systems. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tomas Mazanec, Antonin Hermanek, Jan Kamenický Blind image deconvolution algorithm on NVIDIA CUDA platform. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ad J. van de Goor, Said Hamdioui, Georgi Gaydadjiev Using a CISC microcontroller to test embedded memories. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Heinrich Theodor Vierhaus A software-based self-test and hardware reconfiguration solution for VLIW processors. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin Straka, Jan Kastil, Zdenek Kotásek Modern fault tolerant architectures based on partial dynamic reconfiguration in FPGAs. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin Krammer, Federico Clazzer, Eric Armengaud, Michael Karner, Christian Steger, Reinhold Weiss Exploration of the FlexRay signal integrity using a combined prototyping and simulation approach. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Liviu Agnola, Mircea Vladutiu, Mihai Udrescu Self-Adaptive mechanism for cache memory reliability improvement. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Heinrich Theodor Vierhaus Combining de-stressing and self repair for long-term dependable systems. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Danilo Ravotto, Ernesto Sánchez 0001, Matteo Sonza Reorda A hardware accelerated framework for the generation of design validation programs for SMT processors. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kurt Schweiger, Horst Zimmermann Highly linear down-conversion mixer in 65nm CMOS for a high supply voltage of 2.5V. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alexandre Porcher, Katell Morin-Allory, Laurent Fesquet Synthesis of asynchronous monitors for critical electronic systems. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Václav Simek, Richard Ruzicka, Lukás Sekanina On analysis of fabricated polymorphic circuits. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tetsuya Iizuka, Toru Nakura, Kunihiro Asada Buffer-ring-based all-digital on-chip monitor for PMOS and NMOS process variability and aging effects. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kuo-Hsing Cheng, Chang-Chien Hu, Jen-Chieh Liu, Hong-Yi Huang A time-to-digital converter using multi-phase-sampling and time amplifier for all digital phase-locked loop. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcus Jeitler, Jakob Lechner, Andreas Steininger Enhancing pipelined processor architectures with fast autonomous recovery of transient faults. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Amr Helmy, Laurence Pierre, Axel Jantsch Theorem proving techniques for the formal verification of NoC communications with non-minimal adaptive routing. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mehmood-ur-Rehman Awan, Peter Koch 0001 Combined matched filter and arbitrary interpolator for symbol timing synchronization in SDR receivers. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bo Han, Mengmeng Liu, Ning Ge A 3-5GHz UWB CMOS receiver with digital control technique. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Filip Adamec, Tomas Fryza Design - Time configurable processor basic structure. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zheng Xie, Doug A. Edwards Computation reduction for statistical analysis of the effect of nano-CMOS variability on asynchronous circuits. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Stylianos Siskos Noise determination of a current conveyor in an inverting voltage amplifier configuration. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen Partitioning methods for unicast/multicast traffic in 3D NoC architecture. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fabian Khateb, Dalibor Biolek, Nabhan Khatib, Jiri Vavra Utilizing the Bulk-driven technique in analog circuit design. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rolf Drechsler, Görschwin Fey Formal verification meets robustness checking - Techniques and challenges. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fangmei Wu, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Junxia Ma, Wei Zhao 0010, Mohammad Tehranipoor, Xiaoqing Wen Analysis of power consumption and transition fault coverage for LOS and LOC testing schemes. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yngvar Berg Ultra low-voltage bidirectional current mirror using clocked semi-floating-gate transistors. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tomás Urban, Ondrej Subrt, Pravoslav Martínek Versatile sub-bandgap reference IP core. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Thomas C. P. Chau, David W. L. Wu, Yanqing Ai, Brian P. W. Chan, Sam M. H. Ho, Oscar K. L. Lau, Steve C. L. Yuen, Kong-Pang Pun, Oliver C. S. Choy, Philip Heng Wai Leong Design of a single layer programmable Structured ASIC library. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shehzad Hasan, Ajoy Kumar Palit, Walter Anheier Fault diagnosis of crosstalk induced glitches and delay faults. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jan Korenek, Vlastimil Kosar Efficient mapping of nondeterministic automata to FPGA for fast regular expression matching. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zdenek Kotásek, Jaroslav Skarvada, Josef Strnadel Reduction of power dissipation through parallel optimization of test vector and scan register sequences. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Snorre Aunet, Amir Hasanbegovic Memory elements based on minority-3 gates and inverters implemented in 90 nm CMOS. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tatjana R. Nikolic, Mile K. Stojcev, Zoran Stamenkovic Wrapper design for a CDMA bus in SOC. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Heimo Uhrmann, Lukas Dörrer, Franz Kuttner, Kurt Schweiger, Horst Zimmermann A mixer-filter combination of a direct conversion receiver for DVB-H applications in 65nm CMOS. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Ensuring high testability without degrading security: Embedded tutorial on "test and security". Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Masayoshi Yoshimura, Hiroshi Ogawa, Toshinori Hosokawa, Koji Yamazaki Evaluation of transition untestable faults using a multi-cycle capture test generation method. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bo Yang 0009, Thomas Canhao Xu, Tero Säntti, Juha Plosila Tree-model based mapping for energy-efficient and low-latency Network-on-Chip. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mathias Soeken, Robert Wille, Gerhard W. Dueck, Rolf Drechsler Window optimization of reversible and quantum circuits. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Libor Majer, Viera Stopjaková The novel approach to wideband RFIC receivers in standard CMOS process. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicola Campanelli, Tamas Kerekes, Paolo Bernardi, Mauricio de Carvalho, Alessandro Panariti, Matteo Sonza Reorda, Davide Appello, Mario Barone Cumulative embedded memory failure bitmap display & analysis. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Katsuya Fujiwara, Hideo Fujiwara, Marie Engelene J. Obien, Hideo Tamamoto SREEP: Shift Register Equivalents Enumeration and Synthesis Program for secure scan design. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mario Schölzel Software-based self-repair of statically scheduled superscalar data paths. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Viktor Pus, Juraj Blaho, Jan Korenek Memory optimizations for packet classification algorithms in FPGA. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ali Azarpeyvand, Mostafa E. Salehi, Farshad Firouzi, Amir Yazdanbakhsh, Sied Mehdi Fakhraie Instruction reliability analysis for embedded processors. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jiri Halak, Sven Ubik, Petr Zejdl Receiver synchronization in video streaming with short latency over asynchronous networks. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Elena Gramatová, Zdenek Kotásek, Andreas Steininger, Heinrich Theodor Vierhaus, Horst Zimmermann (eds.) 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2010, Vienna, Austria, April 14-16, 2010 Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  BibTeX  RDF
1Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka How to reduce size of a signature-based diagnostic dictionary used for testing of connections. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yngvar Berg, Omid Mirmotahari Low voltage precharge CMOS logic. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Qing K. Zhu, Vincent Bars Simulation and planning method for on-chip power distribution - An industry perspective. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Heimo Uhrmann, Franz Schlögl, Kurt Schweiger, Horst Zimmermann A 1GHz-GBW operational amplifier for DVB-H receivers in 65nm CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Miloslav Kubar, Ondrej Subrt, Pravoslav Martínek, Jiri Jakovenko Experience in Virtual Testing of RSD cyclic A/D converters. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Davide Bresolin, Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Tiziano Villa The impact of EFSM composition on functional ATPG. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stanislaw Deniziak, Robert Tomaszewski Contention-avoiding custom topology generation for network-on-chip. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Krzysztof Marcinek, Arkadiusz W. Luczyk, Witold A. Pleskacz Enhanced LEON3 core for superscalar processing. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Farhad Alibeygi Parsan, Ahmad Ayatollahi, Adib Abrishamifar Investigating the linearity of MOSFET-only switched-capacitor DeltaSigma modulators under low-voltage condition. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Grzegorz Borowik, Tadeusz Luba, Bogdan J. Falkowski Logic synthesis method for pattern matching circuits implementation in FPGA with embedded memories. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Petr Kobierský, Jan Korenek, Libor Polcak Packet header analysis and field extraction for multigigabit networks. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1András Timár, György Bognár Contactless characterization of MEMS devices using optical microscopy. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Daniel Tille, Rolf Drechsler A fast untestability proof for SAT-based ATPG. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Olivier Ginez, Jean-Michel Portal, Hassen Aziza An on-line testing scheme for repairing purposes in Flash memories. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jacek Gradzki, Tomasz Borejko, Witold A. Pleskacz Low voltage LNA implementations in 90 nm CMOS technology for multistandard GNSS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alberto Yufera, Adoración Rueda A CMOS bio-impedance measurement system. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Flavius Opritoiu, Mircea Vladutiu, Mihai Udrescu, Lucian Prodan Round-level concurrent error detection applied to Advanced Encryption Standard. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Martin Donoval, Martin Daricek, Juraj Marek, Viera Stopjaková Power devices current monitoring using horizontal and vertical magnetic force sensor. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yngvar Berg, Omid Mirmotahari Ultra low-voltage switched current mirror. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yun-Che Wen Test scheme for switched-capacitor circuits by digital analyses. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1P. Balasubramanian 0001, David A. Edwards, Charlie Brej Self-timed full adder designs based on hybrid input encoding. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Peter Malík, Michal Ufnal, Arkadiusz W. Luczyk, Marcel Baláz, Witold A. Pleskacz MDCT / IMDCT low power implementations in 90 nm CMOS technology for MP3 audio. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Martin Rozkovec, Ondrej Novák Structural test of programmed FPGA circuits. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stefan Kolodzinski, Edward Hrynkiewicz An utilisation of Boolean differential calculus in variables partition calculation for decomposition of logic functions. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kameswar Rao Vaddina, Ethiopia Nigussie, Pasi Liljeberg, Juha Plosila Self-timed thermal sensing and monitoring of multicore systems. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Thomas Reinbacher, Martin Horauer, Bastian Schlich Using 3-valued memory representation for state space reduction in embedded assembly code model checking. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Miroslav Manik, Elena Gramatová Diagnosis of faulty units in regular graphs under the PMC model. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sanad Bushnaq, Toru Nakura, Makoto Ikeda, Kunihiro Asada All digital baseband 50 Mbps data recovery using 5× oversampling with 0.9 data unit interval clock jitter tolerance. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Daniel Scheit, Heinrich Theodor Vierhaus A scheme of logic self repair including local interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hong-Yi Huang, Fu-Chien Tsai Analysis and optimization of ring oscillator using sub-feedback scheme. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Youssef Benabboud, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Laroussi Bouzaida, Isabelle Izaute Comprehensive bridging fault diagnosis based on the SLAT paradigm. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Brendan Mullane, Vincent O'Brien, Ciaran MacNamee, Thomas Fleischmann An SOC platform for ADC test and measurement. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Anton Chichkov Challenges for test and design for test. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Juanjuan Chen, Xing Wei, Yunjian Jiang, Qiang Zhou 0001 Improve clock gating through power-optimal enable function selection. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Georges G. E. Gielen Design tools and circuit solutions for degradation-resilient analog circuits in nanometer CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2009, April 15-17, 2009, Liberec, Czech Republic Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  BibTeX  RDF
1Jen-Chieh Liu, Hong-Yi Huang, Wei-Bin Yang, Kuo-Hsing Cheng 0.5V 160-MHz 260uW all digital phase-locked loop. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Florence Azaïs, Yves Bertrand, Michel Renovell An analysis of the timing behavior of CMOS digital blocks under Simultaneous Switching Noise conditions. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stanislaw Deniziak, Mariusz Wisniewski A symbolic RTL synthesis for LUT-based FPGAs. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yiorgos Sfikas, Yiorgos Tsiatouhas Physical design oriented DRAM Neighborhood Pattern Sensitive Fault testing. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Piotr Jantos, Damian Grzechca, Jerzy Rutkowski Global parametric faults identification with the use of Differential Evolution. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kurt Schweiger, Heimo Uhrmann, Horst Zimmermann Low-voltage low-power double bulk mixer for direct conversion receiver in 65nm CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Juraj Brenkus, Viera Stopjaková, Ronny Vanhooren, Anton Chichkov Comparison of different test strategies on a mixed-signal circuit. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Elke De Mulder, Wim Aerts, Bart Preneel, Ingrid Verbauwhede, Guy A. E. Vandenbosch Case Study : A class E power amplifier for ISO-14443A. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Peter Tummeltshammer, Andreas Steininger On the role of the power supply as an entry for common cause faults - An experimental analysis. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Florent Ouchet, Dominique Borrione, Katell Morin-Allory, Laurence Pierre High-level symbolic simulation for automatic model extraction. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kunihiro Asada, Taku Sogabe, Toru Nakura, Makoto Ikeda Measurement of power supply noise tolerance of self-timed processor. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Werner Friesenbichler, Thomas Panhofer, Martin Delvai A comprehensive approach for soft error tolerant Four State Logic. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Y. C. Chang, Hsuan-Ling Kao, C. H. Kao, C. H. Yang, Jeffrey S. Fu, Nemai C. Karmakar, Li-Chun Chang 0.18 µm CMOS UWB LNA with new feedback configuration for optimization low noise, high gain and small area. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Lyl M. Ciganda, Francesco Abate, Paolo Bernardi, M. Bruno, Matteo Sonza Reorda An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Abhijit Chatterjee Cognitive self-adaptive computing and communication systems: Test, control and adaptation. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jinpeng Zhao, Qiang Zhou 0001, Yici Cai Fast congestion-aware timing-driven placement for island FPGA. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1043 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license