|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 883 occurrences of 557 keywords
|
|
|
Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Judith D. Wilson |
A Socratic approach to helping novice programmers debug programs. |
SIGCSE |
1987 |
DBLP DOI BibTeX RDF |
|
16 | Reid G. Simmons, Randall Davis |
Generate, Test and Debug: Combining Associational Rules and Causal Models. |
IJCAI |
1987 |
DBLP BibTeX RDF |
|
16 | David Florcik, David Low, Martin Roche |
Prototype Debug using ATE. |
IEEE Des. Test |
1984 |
DBLP DOI BibTeX RDF |
|
16 | F. Andreis, A. Dabbene, E. Husu |
Real Time Software Debug and Test Practices and Tools: Italtel and Teletta experiences. |
ICC (3) |
1984 |
DBLP BibTeX RDF |
|
16 | Bert Beander |
VAX DEBUG: an interactive, symbolic, multilingual debugger. |
SIGSOFT |
1983 |
DBLP DOI BibTeX RDF |
|
16 | Rich Seidner, Nick Tindall |
Interactive debug requirements. |
SIGSOFT |
1983 |
DBLP DOI BibTeX RDF |
|
16 | Sten Minör, Per-Olov Nilsson |
Using a personal computer as a debug engine. |
SIGSMALL |
1983 |
DBLP DOI BibTeX RDF |
|
16 | Anne Adam, Jean-Pierre H. Laurent |
LAURA, A System to Debug Student Programs. |
Artif. Intell. |
1980 |
DBLP DOI BibTeX RDF |
|
16 | John A. Gould |
Some Psychological Evidence on How People Debug Computer Programs. |
Int. J. Man Mach. Stud. |
1975 |
DBLP DOI BibTeX RDF |
|
16 | Thomas G. Evans, D. Lucille Darley |
DEBUG - an extension to current online debugging techniques. |
Commun. ACM |
1965 |
DBLP DOI BibTeX RDF |
|
16 | Aleksey Pesterev, Nickolai Zeldovich, Robert Tappan Morris |
Locating cache performance bottlenecks using data profiling. |
EuroSys |
2010 |
DBLP DOI BibTeX RDF |
data profiling, debug registers, statistical profiling, cache misses |
16 | Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra |
BLoG: post-silicon bug localization in processors using bug localization graphs. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
IFRA, BLoG, silicon debug, post-silicon validation |
16 | Paul Edward McKechnie, Michaela Blott, Wim Vanderbauwhede |
Architectural Comparison of Instruments for Transaction Level Monitoring of FPGA-Based Packet Processing Systems. |
FCCM |
2009 |
DBLP DOI BibTeX RDF |
FPGA, monitoring, debug, transaction, instrumentation |
16 | Masahiro Fujita, Yoshihisa Kojima, Amir Masoud Gharehbaghi |
Debugging from high level down to gate level. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
post-silicon debug, dependence analysis, system level design, equivalence checking, high-level design |
16 | Eduardo Aldrete-Vidrio, M. Amine Salhi, Josep Altet, Stéphane Grauby, Diego Mateo, H. Michel, L. Clerjaud, Jean-Michel Rampnoux, Antonio Rubio 0001, Wilfrid Claeys, Stefan Dilhaire |
Using Temperature as Observable of the Frequency Response of RF CMOS Amplifiers. |
ETS |
2008 |
DBLP DOI BibTeX RDF |
system debug, analog test, Thermal test, RF test, temperature measurements |
16 | Chiung-Fang Chiu, Greg C. Lee, Ju-Hsush Yang |
Design and Implementation of Video-enabled Web-based Pronunciation Debugging System. |
ICALT |
2007 |
DBLP DOI BibTeX RDF |
pronunciation, debug practice, streaming video |
16 | Sang-Young Cho, Yoojin Chung, Jung-Bae Lee |
Virtual Development Environment Based on SystemC for Embedded Systems. |
International Conference on Computational Science (4) |
2007 |
DBLP DOI BibTeX RDF |
Virtual development environment, Embedded system development, Remote debug interface, Hardware simulation, SystemC |
16 | Vladimir Hahanov |
2005 IEEE East-West Design and Test Workshop. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
EWDTW 2005, formal verification, fault diagnosis, debug, BIST, EDA, system-level modeling |
16 | Robert C. Aitken |
ITC is Cool. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
high-frequency test, board and system test, test compression, silicon debug, International Test Conference, ITC |
16 | Pradeep Varma, Ashok Anand, Donald P. Pazel, Beth Tibbitts |
NextGen eXtreme porting: structured by automation. |
SAC |
2005 |
DBLP DOI BibTeX RDF |
port planning, re-factor, test, debug, XP, eXtreme programming, software process model, orchestration, dialects, analyze, fix |
16 | Michael R. Nelms, Kevin W. Gorman, Darren Anand |
Generating At-Speed Array Fail Maps with Low-Speed ATE. |
VTS |
2004 |
DBLP DOI BibTeX RDF |
Delay & Performance Test, Diagnosis & Debug, Design for Testability, BIST, Memory Test |
16 | Corinne Ancourt, Thi Viet Nga Nguyen |
Array resizing for scientific code debugging, maintenance and reuse. |
PASTE |
2001 |
DBLP DOI BibTeX RDF |
array declaration, array region, array resizing, reverse-engineering, debug, reuse, FORTRAN, program analysis, program comprehension |
16 | Jayabrata Ghosh-Dastidar, Nur A. Touba |
A Rapid and Scalable Diagnosis Scheme for BIST Environments with a Large Number of Scan Chains. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
built-in self-test Scan Chains, Design-for-Diagnosis, Multi-Input Signature Register, Design-for-Testability, LFSR, Integrated Circuits, Integrated Circuits, Digital Testing, Design-for-Debug |
16 | Brian Mitchell, Steven J. Zeil |
An Experiment in Estimating Reliability Growth Under Both Representative and Directed Testing. |
ISSTA |
1998 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Yuzo Fujishima |
An Interface Agent for Nonroutine Tasks. |
IUI |
1997 |
DBLP DOI BibTeX RDF |
goal-oriented interface, DEBUG, programming-by-demonstration, interface agent, debugger |
16 | Brad T. Vander Zanden, Scott A. Venckus |
An Empirical Study of Constraint Usage in Graphical Applications. |
ACM Symposium on User Interface Software and Technology |
1996 |
DBLP DOI BibTeX RDF |
one-way constraints, optimization, debugging, DEBUG, profiling, toolkits, graphical applications |
16 | Roland Wismüller |
Debugging of Globally Optimized Programs Using Data Flow Analysis. |
PLDI |
1994 |
DBLP DOI BibTeX RDF |
DEBUG, C |
16 | Robert H. B. Netzer, Mark H. Weaver |
Optimal Tracing and Incremental Reexecution for Debugging Long-Running Programs. |
PLDI |
1994 |
DBLP DOI BibTeX RDF |
DEBUG, SPARC |
16 | Ernst Siepmann, A. Richard Newton |
TOBAC: A Test Case Browser for Testing Object-Oriented Software. |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Lori L. Pollock, Mary P. Bivens, Mary Lou Soffa |
Debugging Optimized Code Via Tailoring (Abstract). |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Suresh K. Damodaran-Kamal, Joan M. Francioni |
Testing Races in Parallel Programs with an OtOt Strategy. |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Debra J. Richardson |
TAOS: Testing with Analysis and Oracle Support. |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Bogdan Korel, Satish Yalamanchili |
Forward Computation of Dynamic Program Slices. |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Gerald M. Karam |
Visualization using Timelines. |
ISSTA |
1994 |
DBLP DOI BibTeX RDF |
DEBUG, X-Window |
16 | Harry Koehnemann, Timothy E. Lindquist |
Towards Target-Level Testing and Debugging Tools for Embedded Software. |
TRI-Ada |
1993 |
DBLP DOI BibTeX RDF |
Ada, DEBUG |
16 | Sharon E. Perl, William E. Weihl |
Performance Assertion Checking. |
SOSP |
1993 |
DBLP DOI BibTeX RDF |
DEBUG, Parallel C |
16 | Gary Sabot, Skef Wholey |
CMAX: A Fortran Translator for the Connection Machine System. |
International Conference on Supercomputing |
1993 |
DBLP DOI BibTeX RDF |
DEBUG, FORTRAN 77, CM-2 |
16 | Daniel Jackson 0001 |
Abstract Analysis with Aspect. |
ISSTA |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Bernhard Ries, Ray Anderson, W. Auld, Don Breazeal, Karla Callaghan, Eric Richards, W. D. Smith |
The paragon performance monitoring environment. |
SC |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Jeffrey S. Brown |
Debuggers for high performance computers. |
SC |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Robert H. B. Netzer, Jian Xu |
Adaptive message logging for incremental replay of message-passing programs. |
SC |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Geoffrey C. Fox, Sanjay Ranka, Michael L. Scott, Allen D. Malony, James C. Browne, Marina C. Chen, Alok N. Choudhary, Thomas E. Cheatham, Janice E. Cuny, Rudolf Eigenmann, Amr F. Fahmy, Ian T. Foster, Dennis Gannon, Tomasz Haupt, Carl Kesselman, Charles Koelbel, Wei Li 0015, Monica S. Lam, Thomas J. LeBlanc, Jim Openshaw, David A. Padua, Constantine D. Polychronopoulos, Joel H. Saltz, Alan Sussman, Gil Weigand, Katherine A. Yelick |
Common runtime support for high-performance parallel languages. |
SC |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Hagit Attiya, Soma Chaudhuri, Roy Friedman, Jennifer L. Welch |
Shared Memory Consistency Conditions for Non-Sequential Execution: Definitions and Programming Strategies. |
SPAA |
1993 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Robert A. MacLachlan |
The Python Compiler for CMU Common Lisp. |
LISP and Functional Programming |
1992 |
DBLP DOI BibTeX RDF |
DEBUG, Common Lisp |
16 | Margaret Martonosi, Anoop Gupta, Thomas E. Anderson |
MemSpy: Analyzing Memory System Bottlenecks in Programs. |
SIGMETRICS |
1992 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Elaine J. Weyuker, Stewart N. Weiss, Richard G. Hamlet |
Comparison of Program Testing Strategies. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Evelyn Duesterwald, Mary Lou Soffa |
Concurrency Analysis in the Presence of Procedures Using a Data-Flow Framework. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Hiralal Agrawal, Richard A. DeMillo, Eugene H. Spafford |
Dynamic Slicing in the Presence of Unconstrained Pointers. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Rajiv Gupta 0001, Madalene Spezialetti |
Loop Monotonic Computations: An Approach for the Efficient Run-Time Detection of Races. |
Symposium on Testing, Analysis, and Verification |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Jong-Deok Choi, Sang Lyul Min |
Race Frontier: Reproducing Data Races in Parallel-Program Debugging. |
PPoPP |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Robert H. B. Netzer, Barton P. Miller |
Improving the Accuracy of Data Race Detection. |
PPoPP |
1991 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Ray Ford |
A Generic Ambedded Real-Time Monitor Aubsystem. |
ACM Conference on Computer Science |
1990 |
DBLP DOI BibTeX RDF |
Ada, DEBUG |
16 | John F. Cigas |
Dynamically Displaying a Pascal Program in Color. |
SIGSMALL/PC Symposium |
1990 |
DBLP DOI BibTeX RDF |
DEBUG, Pascal |
16 | Robert Hood, Ken Kennedy, John M. Mellor-Crummey |
Parallel program debugging with on-the-fly anomaly detection. |
SC |
1990 |
DBLP DOI BibTeX RDF |
DEBUG, FORTRAN |
16 | Wenwey Hseush, Gail E. Kaiser |
Modeling Concurrency in Parallel Debugging. |
PPoPP |
1990 |
DBLP DOI BibTeX RDF |
DEBUG |
16 | Kai Li 0001, Jeffrey F. Naughton, James S. Plank |
Real-Time, Concurrent Checkpoint for Parallel Programs. |
PPoPP |
1990 |
DBLP DOI BibTeX RDF |
DEBUG |
9 | Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 |
FPGA prototyping of an amba-based windows-compatible SoC. |
FPGA |
2010 |
DBLP DOI BibTeX RDF |
fpga, microsoft windows, amba, x86 |
9 | Xin Zhang 0010, Qing Gu, Xiang Chen 0005, Jingxian Qi, Daoxu Chen |
A study of relative redundancy in test-suite reduction while retaining or improving fault-localization effectiveness. |
SAC |
2010 |
DBLP DOI BibTeX RDF |
fault localization, test-suite reduction |
9 | Harish Patil, Cristiano Pereira, Mack Stallcup, Gregory Lueck, James Cownie |
PinPlay: a framework for deterministic replay and reproducible analysis of parallel programs. |
CGO |
2010 |
DBLP DOI BibTeX RDF |
repeatable simulation point selection, reproducible debugging, dynamic program analysis, deterministic replay |
9 | Jean-Baptiste Tristan, Xavier Leroy |
A simple, verified validator for software pipelining. |
POPL |
2010 |
DBLP DOI BibTeX RDF |
software pipelining, translation validation, verified compilers, symbolic evaluation |
9 | Dominic A. Orchard, Max Bolingbroke, Alan Mycroft |
Ypnos: declarative, parallel structured grid programming. |
DAMP |
2010 |
DBLP DOI BibTeX RDF |
comonads, edsl, structured grids, ypnos, parallelism, stencils |
9 | Jayanth Gummaraju, Ben Sander, Laurent Morichetti, Benedict R. Gaster, Lee W. Howes |
Efficient implementation of GPGPU synchronization primitives on CPUs. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
synchronization, multicore, gpgpu |
9 | Greg James, Barry Silverman, Brian Silverman |
Visualizing a classic CPU in action: the 6502. |
SIGGRAPH Talks |
2010 |
DBLP DOI BibTeX RDF |
MOS 6502, simulation, visualization, microprocessor, integrated circuit, computer history |
9 | Paul D. Solt |
Artwork evolution. |
SIGGRAPH Posters |
2010 |
DBLP DOI BibTeX RDF |
|
9 | Jean Christoph Jung, Stefan Frehse, Robert Wille, Rolf Drechsler |
Enhancing debugging of multiple missing control errors in reversible logic. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
boolean satisfiablity (SAT), debugging, reversible logic |
9 | Ferad Zyulkyarov, Tim Harris 0001, Osman S. Unsal, Adrián Cristal, Mateo Valero |
Debugging programs that use atomic blocks and transactional memory. |
PPoPP |
2010 |
DBLP DOI BibTeX RDF |
debugging, transactional memory |
9 | Yuxiong He, Charles E. Leiserson, William M. Leiserson |
The Cilkview scalability analyzer. |
SPAA |
2010 |
DBLP DOI BibTeX RDF |
burdened parallelism, cilk++, cilkview, dag model, performance, scalability, parallel programming, parallelism, software tools, multithreading, speedup, work, span, multicore programming |
9 | Nicolai Marquardt, Tom Gross, Sheelagh Carpendale, Saul Greenberg |
Revealing the invisible: visualizing the location and event flow of distributed physical devices. |
TEI |
2010 |
DBLP DOI BibTeX RDF |
event flow visualization, geographical map overlays, physical and tangible interfaces, distributed systems, prototyping |
9 | Peter Kemper, Carsten Tepper |
Automated Trace Analysis of Discrete-Event System Models. |
IEEE Trans. Software Eng. |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Eric Schrock |
Debugging AJAX in production. |
Commun. ACM |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Eric Schrock |
Featured Article: Debugging AJAX in Production. |
ACM Queue |
2009 |
DBLP DOI BibTeX RDF |
JavaScript |
9 | Kay Römer, Junyan Ma |
PDA: Passive distributed assertions for sensor networks. |
IPSN |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Paul A. Karger |
Securing virtual machine monitors: what is needed? |
AsiaCCS |
2009 |
DBLP DOI BibTeX RDF |
virtual machine monitors, hypervisors, security kernels |
9 | Jens Bendisposto, Michael Leuschel |
Proof Assisted Model Checking for B. |
ICFEM |
2009 |
DBLP DOI BibTeX RDF |
Model Checking, Experiment, Theorem Proving, B-Method, Tool Integration |
9 | Ingo H. C. Wassink, Matthijs Ooms, Paul E. van der Vet |
Designing Workflows on the Fly Using e-BioFlow. |
ICSOC/ServiceWave |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Michael Conlon, Vasos Pavlika |
A Discussion of Video Capturing to Assist in Distance Learning. |
HCI (12) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Emery D. Berger, Ting Yang, Tongping Liu, Gene Novark |
Grace: safe multithreaded programming for C/C++. |
OOPSLA |
2009 |
DBLP DOI BibTeX RDF |
deterministic concurrency, sequential semantics, concurrency, determinism, fork-join |
9 | Xingyan Li, Bruce A. MacDonald, Catherine Inez Watson |
Expressive facial speech synthesis on a robotic platform. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Ming-Chien Tsai, Ching-Hwa Cheng |
A full-synthesizable high-precision built-in delay time measurement circuit. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Marios Karagiannis, Ioannis Chatzigiannakis, José D. P. Rolim |
WSNGE: a platform for simulating complex wireless sensor networks supporting rich network visualization and online interactivity. |
SpringSim |
2009 |
DBLP DOI BibTeX RDF |
multiprotocol simulation, wireless sensor networks, network visualization |
9 | Koen Claessen, Michal H. Palka, Nicholas Smallbone, John Hughes 0001, Hans Svensson, Thomas Arts, Ulf T. Wiger |
Finding race conditions in Erlang with QuickCheck and PULSE. |
ICFP |
2009 |
DBLP DOI BibTeX RDF |
QuickCheck, Erlang, race conditions |
9 | Byung-Chul Kim, Sang-Woo Jun, Dae-Joon Hwang, Yong-Kee Jun |
Visualizing Potential Deadlocks in Multithreaded Programs. |
PaCT |
2009 |
DBLP DOI BibTeX RDF |
potential deadlocks, lock-causality graph, visualization, debugging, multithreaded programs |
9 | Brent E. Nelson |
FPGA Design Productivity - A Discussion of the State of the Art and a Research Agenda. |
ARC |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Gene Novark, Emery D. Berger, Benjamin G. Zorn |
Efficiently and precisely locating memory leaks and bloat. |
PLDI |
2009 |
DBLP DOI BibTeX RDF |
heap profiling, hound, memory leak detection, virtual compaction, dynamic memory allocation |
9 | Tayfun Elmas, Ali Sezgin, Serdar Tasiran, Shaz Qadeer |
An annotation assistant for interactive debugging of programs with common synchronization idioms. |
PADTAD |
2009 |
DBLP DOI BibTeX RDF |
synchronization idioms, concurrent programs, atomicity |
9 | Thomas Ball, Sebastian Burckhardt, Jonathan de Halleux, Madanlal Musuvathi, Shaz Qadeer |
Deconstructing concurrency heisenbugs. |
ICSE Companion |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Johannes Kinder, Florian Zuleger, Helmut Veith |
An Abstract Interpretation-Based Framework for Control Flow Reconstruction from Binaries. |
VMCAI |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Hui Wu, Jeffrey G. Gray, Marjan Mernik |
Unit Testing for Domain-Specific Languages. |
DSL |
2009 |
DBLP DOI BibTeX RDF |
Domain-specific languages, unit testing, tool generation |
9 | Matthew D. Allen, Srinath Sridharan, Gurindar S. Sohi |
Serialization sets: a dynamic dependence-based parallel execution model. |
PPoPP |
2009 |
DBLP DOI BibTeX RDF |
serialization sets, parallel computing, runtime system, serializer |
9 | Michael Siegel, Adriana Maggiore, Christian Pichler |
Untwist your brain: efficient debugging and diagnosis of complex assertions. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
SystemVerilog assertions, debugging, assertions, fault localization, functional verification, root cause analysis |
9 | Atanu Chattopadhyay, Zeljko Zilic |
Serial reconfigurable mismatch-tolerant clock distribution. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
process variation, clock skew, clock networks |
9 | Andreas G. Veneris, Sean Safarpour |
The day Sherlock Holmes decided to do EDA. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
error localization, verification, debugging |
9 | Da Wang, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 |
Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor. |
J. Comput. Sci. Technol. |
2008 |
DBLP DOI BibTeX RDF |
microprocessor design-for-testability, built-in self-test, test generation, at-speed testing |
9 | Robert Schaefer |
Debugging debugged, a metaphysical manifesto of systems integration. |
ACM SIGSOFT Softw. Eng. Notes |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Ben H. H. Juurlink, Iosif Antochi, Dan Crisu, Sorin Cotofana, Stamatis Vassiliadis |
GRAAL: A Framework for Low-Power 3D Graphics Accelerators. |
IEEE Computer Graphics and Applications |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Marc Boule, Zeljko Zilic |
Automata-based assertion-checker synthesis of PSL properties. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
assertion checkers, emulation, hardware, automata, PSL, Assertion-Based Verification |
9 | Johannes Henkel, Christoph Reichenbach, Amer Diwan |
Developing and debugging algebraic specifications for Java classes. |
ACM Trans. Softw. Eng. Methodol. |
2008 |
DBLP DOI BibTeX RDF |
Algebraic interpretation, specification discovery, algebraic specifications |
9 | Stephan Bourduas, Jean-Samuel Chenard, Zeljko Zilic |
A Quality-Driven Design Approach for NoCs. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Chung-Fu Kao, Hsin-Ming Chen, Ing-Jer Huang |
Hardware-Software Approaches to In-Circuit Emulation for Embedded Processors. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Nupur Kothari, Todd D. Millstein, Ramesh Govindan |
Deriving State Machines from TinyOS Programs Using Symbolic Execution. |
IPSN |
2008 |
DBLP DOI BibTeX RDF |
|
Displaying result #701 - #800 of 1206 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|