The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Michelle L. La Haye, Cory Jung, David Chen, Glenn H. Chapman, Jozsef Dudas Fault Tolerant Active Pixel Sensors in 0.18 and 0.35 Micron Technologies. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ying-Yen Chen, Jing-Jia Liou Enhancing Diagnosis Resolution For Delay Faults By Path Extension Method. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xiaojun Ma, Fabrizio Lombardi Multi-Site and Multi-Probe Substrate Testing on an ATE. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF substrate testing, multi-probe, ATE, MCM, manufacturing test, multi-site
1Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Reliability Analysis of Self-Repairable MEMS Accelerometer. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Adelio Salsano, Fabrizio Lombardi Reliability Evaluation of Repairable/Reconfigurable FPGAs. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Influence of Resistive Bridging Fault on Crosstalk Coupling Effects in On-Chip Aggressor-Victim Interconnects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defective interconnects, defect’s severity, fault model, crosstalk, bridging fault
1Yukiya Miura, Jiro Kato Fault Diagnosis of Analog Circuits Based on Adaptive Test and Output Characteristics. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yoichi Sasaki 0001, Kazuteru Namba, Hideo Ito Soft Error Masking Circuit and Latch Using Schmitt Trigger Circuit. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Nandakumar P. Venugopal, Nihal Shastry, Shambhu J. Upadhyaya Effect of Process Variation on the Performance of Phase Frequency Detector. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Phase Frequency Detector (PFD), NFET, PFET, process variation, Monte Carlo simulation, Jitter, Phase noise
1Gang Zeng, Youhua Shi, Toshinori Takabatake, Masao Yanagisawa, Hideo Ito Low-Cost IP Core Test Using Multiple-Mode Loading Scan Chain and Scan Chain Clusters. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Christian El Salloum, Andreas Steininger, Peter Tummeltshammer, Werner Harter Recovery Mechanisms for Dual Core Architectures. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1David F. Heidel Single-Event-Upset Trends in Advanced CMOS Technologies. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  BibTeX  RDF
1Joonhyuk Yoo, Manoj Franklin The Filter Checker: An Active Verification Management Approach. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Lei Fang 0002, Michael S. Hsiao Bilateral Testing of Nano-scale Fault-tolerant Circuits. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Fault Tests for Diagnosis of Transition Faults. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yusuke Fukushima, Masaru Fukushi, Susumu Horiguchi An Improved Reconfiguration Method for Degradable Processor Arrays Using Genetic Algorithm. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kyriakos Christou, Maria K. Michael, Spyros Tragoudas Implicit Critical PDF Test Generation with Maximal Test Efficiency. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA Search on Bibsonomy DFT The full citation details ... 2006 DBLP  BibTeX  RDF
1Lushan Liu, Ramalingam Sridhar, Shambhu J. Upadhyaya A 3-port Register File Design for Improved Fault Tolerance on Resistive Defects in Core-Cells. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 Modified Triple Modular Redundancy Structure based on Asynchronous Circuit Technique. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Federico Rota, Shantanu Dutt, Sahithi Krishna Off-Chip Control Flow Checking of On-Chip Processor-Cache Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Cristian Grecu, André Ivanov, Res Saleh, Partha Pratim Pande NoC Interconnect Yield Improvement Using Crosspoint Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Reza M. Rad, Mohammad Tehranipoor A Reconfiguration-based Defect Tolerance Method for Nanoscale Devices. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nanoscale Devices, Fault Tolerance, Test, Reconfiguration, Redundancy, Crossbar
1Yuejian Wu, André Ivanov Low Power SoC Memory BIST. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yadunandana Yellambalase, Minsu Choi, Yong-Bin Kim Inherited Redundancy and Configurability Utilization for Repairing Nanowire Crossbars with Clustered Defects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Marco Ottavi, Salvatore Pontarelli, A. Leandri, Adelio Salsano Design and Evaluation of a Hardware on-line Program-Flow Checker for Embedded Microcontrollers. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yasser Sedaghat, Seyed Ghassem Miremadi, Mahdi Fazeli A Software-Based Error Detection Technique Using Encoded Signatures. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tadayoshi Horita, Takurou Murata, Itsuo Takanami A Multiple-Weight-and-Neuron-Fault Tolerant Digital Multilayer Neural Network. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF weight fault, neuron fault, fault tolerance, FPGA, VHDL, multilayer neural network
1Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft Error Modeling and Protection for Sequential Elements. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano FPGA oriented design of parity sharing RS codecs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mahdi Fazeli, Reza Farivar 0003, Seyed Ghassem Miremadi A Software-Based Concurrent Error Detection Technique for PowerPC Processor-based Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mariam Momenzadeh, Jing Huang 0001, Fabrizio Lombardi Defect Characterization and Tolerance of QCA Sequential Devices and Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Michael Wieckowski, John C. Liobe, Quentin Diduck, Martin Margala A New Test Methodology For DNL Error In Flash ADC's. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1In Suk Chong, Antonio Ortega Hardware Testing For Error Tolerant Multimedia Compression based on Linear Transforms. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Scan Design Using Lock and Key Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Dilip P. Vasudevan, Parag K. Lala A Technique for Modular Design of Self-Checking Carry-Select Adder. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA Search on Bibsonomy DFT The full citation details ... 2005 DBLP  BibTeX  RDF
1Erik Schüler, Luigi Carro Reliable Digital Circuits Design using Sigma-Delta Modulated Signals. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz On Generating Pseudo-Functional Delay Fault Tests for Scan Designs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Bhushan Vaidya, Mehdi Baradaran Tahoori Delay Test Generation with All Reachable Output Propagation and Multiple Excitations. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jinkyu Lee 0005, Nur A. Touba Low Power BIST Based on Scan Partitioning. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Zhaojun Wo, Israel Koren, Maciej J. Ciesielski An ILP Formulation for Yield-driven Architectural Synthesis. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Copyright. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Siavash Bayat Sarmadi, M. Anwar Hasan Concurrent Error Detection of Polynomial Basis Multiplication over Extension Fields using a Multiple-bit Parity Scheme. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Chunsheng Liu, Kugesh Veeraraghavant, Vikram Iyengar Thermal-Aware Test Scheduling and Hot Spot Temperature Minimization for Core-Based Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Design and Analysis of Self-Repairable MEMS Accelerometer. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Masaru Fukushi, Yusuke Fukushima, Susumu Horiguchi A Genetic Approach for the Reconfiguration of Degradable Processor Arrays. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Cristian Grecu, Partha Pratim Pande, Baosheng Wang, André Ivanov, Res Saleh Methodologies and Algorithms for Testing Switch-Based NoC Interconnects. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Lei Wu 0009, D. M. H. Walker A Fast Algorithm for Critical Path Tracing in VLSI Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Vladimir Ostrovsky, Ilya Levin Implementation of Concurrent Checking Circuits by Independent Sub-circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Leonard Lee, Sean H. Wu, Charles H.-P. Wen, Li-C. Wang On Generating Tests to Cover Diverse Worst-Case Timing Corners. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Hyukjune Chung, Antonio Ortega Analysis and Testing for Error Tolerant Motion Estimation. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Kyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi Data Dependent Jitter (DDJ) Characterization Methodology. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1David M. Horan, Richard A. Guinee A Novel Pulse Echo Correlation Tool for Transmission Path Testing and Fault Finding using Pseudorandom Binary Sequences. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1B. Saillet, Jean-Michel Portal, Didier Née Flash Memory Cell: Parametric Test Data Reconstruction for Process Monitoring. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi Simulating Faults of Combinational IP Core-based SOCs in a PLI Environment. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac Test of Interconnection Opens Considering Coupling Signals. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Fang Yu 0001, Chung-Hung Tsai, Yao-Wen Huang, D. T. Lee, Hung-Yau Lin, Sy-Yen Kuo Efficient Exact Spare Allocation via Boolean Satisfiability. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Luca Sterpone, Massimo Violante A design flow for protecting FPGA-based systems against single event upsets. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Zachary D. Patitz, Nohpill Park, Minsu Choi, Fred J. Meyer QCA-Based Majority Gate Design under Radius of Effect-Induced Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Vijay K. Jain, Shekhar Bhansali Inter-Plane Via Defect Detection Using the Sensor Plane in 3-D Heterogeneous Sensor Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jien-Chung Lo, Yu-Lun Wan, Eiji Fujiwara Transient Behavior of the Encoding/Decoding Circuits of Error Correcting Codes. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mariam Momenzadeh, Marco Ottavi, Fabrizio Lombardi Modeling QCA Defects at Molecular-level in Combinational Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault model, emerging technology, defect tolerance, QCA
1Cristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto A model of soft error effects in generic IP processors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Cory Jung, Mohammad Hadi Izadi, Michelle L. La Haye Noise Analysis of Fault Tolerant Active Pixel Sensors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Nisar Ahmed, Mohammad Tehranipoor Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Message from the Symposium Chairs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jeetendra Kumar, Mehdi Baradaran Tahoori A Low Power Soft Error Suppression Technique for Dynamic Logic. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Chin-Lung Su, Yi-Ting Yeh, Cheng-Wen Wu An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Title Page. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Recovery During Concurrent On-Line Testing of Identical Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1G. Cellere, Alessandro Paccagnella, Angelo Visconti, Mauro Bonanomi Soft Errors induced by single heavy ions in Floating Gate memory arrays. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 Committees. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Masato Kitakami, Manabu Sueishi Fault-Tolerant Wormhole Switching with Backtracking Capability. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, José Manuel Cazeaux, T. M. Mak The Other Side of the Timing Equation: a Result of Clock Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Israel Koren, Zahava Koren, Jozsef Dudas, Cory Jung On-Line Identification of Faults in Fault-Tolerant Imagers. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Haruhiko Kaneko Error Control Coding for Semiconductor Memory Systems in the Space Radiation Environment. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Miltiadis Hatzimihail, Mihalis Psarakis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis Software-Based Self-Test for Pipelined Processors: A Case Study. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Enkelejda Tafaj, Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Shaolei Quan, Meng-Yao Liu, Chin-Long Wey Design of a CMOS Operational Amplifier Amenable to Extreme Voltage Stress. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Should Illinois-Scan Based Architectures be Centralized or Distributed? Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jia Di, Parag K. Lala, Dilip P. Vasudevan On the Effect of Stuck-at Faults on Delay-insensitive Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano A Self Checking Reed Solomon Encoder: Design and Analysis. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Kyung Ki Kim, Jing Huang 0001, Yong-Bin Kim, Fabrizio Lombardi On the Modeling and Analysis of Jitter in ATE Using Matlab. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mehdi Baradaran Tahoori Defects, Yield, and Design in Sublithographic Nano-electronics. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Letícia Maria Veiras Bolzani, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante An Integrated Approach for Increasing the Soft-Error Detection Capabilities in SoCs processors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Song Peng, Rajit Manohar Efficient Failure Detection in Pipelined Asynchronous Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Yukiya Miura Characteristics of Fault Diagnosis for Analog Circuits Based on Preset Test. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Sadeka Ali, Gregory Briggs, Martin Margala A High Frequency, Low Jitter Auto-Calibration Phase-Locked Loop with Built-in-Self-Test. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Daniele Rossi 0001, Martin Omaña 0001, Fabio Toma, Cecilia Metra Multiple Transient Faults in Logic: An Issue for Next Generation ICs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mohammad Tehranipoor Defect Tolerance for Molecular Electronics-Based NanoFabrics Using Built-In Self-Test Procedure. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Samuel I. Ward, Chris Schattauer, Nur A. Touba Using Statistical Transformations to Improve Compression for Linear Decompressors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Luca Breveglieri, Israel Koren, Paolo Maistri Incorporating Error Detection and Online Reconfiguration into a Regular Architecture for the Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Tejasvi Das, Anand Gopalan, Clyde Washburn, P. R. Mukund Dynamic Input Match Correction in RF Low Noise Amplifiers. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Shi-Yu Huang A Fading Algorithm For Sequential Fault Diagnosis. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings Search on Bibsonomy DFT The full citation details ... 2004 DBLP  BibTeX  RDF
1Yinhe Han 0001, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Ireneusz Gosciniak A New Approach to Linear Connections Building BIST Structure Based on CSTP Structure. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license