The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Marco Crescentini, Michele Biondi, Marco Bennati, P. Alberti, Giulia Luciani, Cinzia Tamburini, Matteo Pizzotti, Aldo Romani, Marco Tartagni, David E. Bellasi, Davide Rossi, Luca Benini, Marco Marchesi, Domenico Cristaudo, Roberto Canegallo A 2 MS/s 10A Hall current sensor SoC with digital compressive sensing encoder in 0.16 µm BCD. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tarun Agarwal, Iuliana P. Radu, Praveen Raghavan, Gianluca Fiori, Aaron Thean, Marc M. Heyns, Wim Dehaene Effect of material parameters on two-dimensional materials based TFETs: An energy-delay perspective. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ben Keller, Martin Cochet, Brian Zimmer, Yunsup Lee, Milovan Blagojevic, Jaehwa Kwak, Alberto Puggelli, Stevo Bailey, Pi-Feng Chiu, Daniel Palmer Dabbelt, Colin Schmidt 0001, Elad Alon, Krste Asanovic, Borivoje Nikolic Sub-microsecond adaptive voltage scaling in a 28nm FD-SOI processor SoC. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tohru Kaneko, Yuya Kimura, Koji Hirose, Masaya Miyahara, Akira Matsuzawa A 76-dB-DR 6.8-mW 20-MHz bandwidth CT ΔΣ ADC with a high-linearity Gm-C filter. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Suchendranath Popuri, Vijaya Sankara Rao Pasupureddi, Johannes Sturm A tunable gain and tunable band active balun LNA for IEEE 802.11ac WLAN receivers. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Carsten Wulff, Trond Ytterdal A compiled 3.5fJ/conv.step 9b 20MS/s SAR ADC for wireless applications in 28nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yusuke Shuto, Shuu'ichirou Yamamoto, Satoshi Sugahara Energy performance of nonvolatile power-gating SRAM using SOTB technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ashish Kumar 0001, Chandrajit Debnath, Pratap Narayan Singh, Vivek Bhatia, Shivani Chaudhary, Vigyan Jain, Stéphane Le Tual, Rakesh Malik A 0.065mm2 19.8mW single channel calibration-free 12b 600MS/s ADC in 28nm UTBB FDSOI using FBB. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhao Chuan Lee, M. Sultan M. Siddiqui, Zhi-Hui Kong, Tony Tae-Hyoung Kim An 8T SRAM with BTI-Aware Stability Monitor and two-phase write operation for cell stability improvement in 28-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hossein Kassiri, Gairik Dutta, Nima Soltani, Chang Liu, Yu Hu, Roman Genov An impedance-tracking battery-less arbitrary-waveform neurostimulator with load-adaptive 20V voltage compliance. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chenyu Wang, Han Jin, Yajie Qin, Li-Rong Zheng 0001 A wearable ECG monitoring device with flexible embedded denoising and compression. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marc Erett, James Hudner, Declan Carey, Ronan Casey, Kevin Geary, Kay Hearne, Pedro Neto 0001, Thomas Mallard, Vikas Sooden, Mark Smyth, Yohan Frans, Jay Im, Parag Upadhyaya, Wenfeng Zhang, Winson Lin, Bruce Xu, Ken Chang A 0.5-16.3Gbps multi-standard serial transceiver with 219mW/channel in 16nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shaolan Li, Nan Sun 0001 A 174.3dB FoM VCO-based CT ΔΣ modulator with a fully digital phase extended quantizer and tri-level resistor DAC in 130nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jonas Handwerker, M. Eder, M. Tibiletti, Volker Rasche, Klaus Scheffler, Joachim Becker, Maurits Ortmanns, Jens Anders An array of fully-integrated quadrature TX/RX NMR field probes for MRI trajectory mapping. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yashar Rajavi, Mazhareddin Taghivand, Kamal Aggarwal, Andrew Ma, Ada S. Y. Poon An energy harvested ultra-low power transceiver for Internet of Medical Things. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minseo Kim, Unsoo Ha, Yongsu Lee, Kyuho Jason Lee, Hoi-Jun Yoo A 82nW chaotic-map true random number generator based on sub-ranging SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiao Xiao 0005, Amanda Pratt, Ali M. Niknejad, Elad Alon, Borivoje Nikolic A 65nm CMOS wideband TDD front-end with integrated T/R switching via PA re-use. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David Robertson 0002, Aaron Buchwald, Michael Flynn, Hae-Seung Lee, Un-Ku Moon, Boris Murmann Data converter reflections: 19 papers from the last ten years that deserve a second look. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Takuji Miki, Noriyuki Miura, Kento Mizuta, Shiro Dosho, Makoto Nagata A 500MHz-BW -52.5dB-THD Voltage-to-Time Converter utilizing a two-step transition inverter. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tobi Delbrück Neuromorophic vision sensing and processing. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1J. P. Sanjurjo, Enrique Prefasi, Cesare Buffa, Richard Gaggl An energy-efficient 17-bit noise-shaping Dual-Slope Capacitance-to-Digital Converter for MEMS sensors. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Maoqiang Liu, Arthur H. M. van Roermund, Pieter Harpe A 7.1fJ/conv.-step 88dB-SFDR 12b SAR ADC with energy-efficient swap-to-reset. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Houdebine, Emmanuel Chataigner, R. Boulestin, C. Grundrich, Davy Thevenet, Sébastien Pruvost, H. Sherry, F. Colmagro, F. Bailleul, Sébastien Dedieu An 85-GHz fully integrated all digital fractional frequency synthesizer for e-band backhaul and radar applications in 55-nm BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gabriele Anzalone, Enrico Monaco, Guido Albasini, Simone Erba, Andrea Mazzanti A 0.2-11.7GHz, high accuracy injection-locking multi-phase generation with mixed analog/digital calibration loops in 28nm FDSOI CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Greg Yeric At the core of system scaling. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad Ali 0012, Matteo Perenzoni, David Stoppa A high-gain, low-noise switched capacitor readout for FET-based THz detectors. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erik J. Peterson, David A. Dinsmoor, Dustin J. Tyler, Timothy J. Denison Stimulation artifact rejection in closed-loop, distributed neural interfaces. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abhirup Lahiri, Nitin Gupta A 0.0175mm2 600µW 32kHz input 307MHz output PLL with 190psrms jitter in 28nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gerhard P. Fettweis 5G and the future of IoT. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo An 8.3mW 1.6Msamples/s multi-modal event-driven speech enhancement processor for robust speech recognition in smart glasses. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alessandra Pipino, Marcello De Matteis, Alessandro Pezzotta, Federica Resta, Stefano D'Amico, Andrea Baschirotto A 22.5MHz 21.5dBm-IIP3 4th-Order FLFB analog filter. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kazuya Masu, Daisuke Yamane, Katsuyuki Machida, Masato Sone, Yoshihiro Miyake Development of high sensitivity CMOS-MEMS inertia sensor and its application to early-stage diagnosis of Parkinson's disease. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Junfeng Jiang, Kofi A. A. Makinwa A hybrid multi-path CMOS magnetic sensor with 76 ppm/°C sensitivity drift. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vincent Camus, Jeremy Schlachter, Christian C. Enz, Michael Gautschi, Frank K. Gürkaynak Approximate 32-bit floating-point unit design with 53% power-area product reduction. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ruixin Wang, Fa Foster Dai A 1∼1.5 GHz capacitive coupled inductor-less multi-ring oscillator with improved phase noise. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jean-Paul Bardyn, Thierry Melly, Olivier Seller, Nicolas Sornin IoT: The era of LPWAN is starting now. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Werner Grollitsch, Roberto Nonis A fractional-N, all-digital injection-locked PLL with wide tuning range digitally controlled ring oscillator and Bang-Bang phase detection for temperature tracking in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dante Gabriel Muratore, Alper Akdikmen, Edoardo Bonizzoni, Franco Maloberti, U. Fat Chio, Sai-Weng Sin, Rui Paulo Martins An 8-bit 0.7-GS/s single channel flash-SAR ADC in 65-nm CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1So Hasegawa, Junichiro Kadomoto, Atsutake Kosuge, Tadahiro Kuroda A 1 Tb/s/mm2 inductive-coupling side-by-side chip link. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christopher Lawrence Ayala, Antonios Bazigos, Daniel Grogg, Ute Drechsler, Christoph Hagleitner Experimental demonstration of a nanoelectromechanical switch-based logic library including sequential and combinational gates. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marc Pons 0001, Thanh-Chau Le, Claude Arm, Daniel Séverac, Jean-Luc Nagel, Marc-Nicolas Morgan, Stéphane Emery Sub-threshold latch-based icyflex2 32-bit processor with wide supply range operation. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Monodeep Kar, Arvind Singh, Anand Rajan, Vivek De, Saibal Mukhopadhyay An integrated inductive VR with a 250MHz all-digital multisampled compensator and on-chip auto-tuning of coefficients in 130nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Salvatore Levantino Bang-bang digital PLLs. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ilias Sourikopoulos, Antoine Frappé, Andreia Cathelin, Laurent Clavier, Andreas Kaiser A digital delay line with coarse/fine tuning through gate/body biasing in 28nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christian Fraisse, Angelo Nagari A ΣΔ sense chain using chopped integrators for ultra-low-noise MEMS system. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Enkhbayasgalan Gantsog, Deyu Liu, Alyssa B. Apsel 0.89 mW on-chip jitter-measurement circuit for high speed clock with sub-picosecond resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jianyu Zhong, Yan Zhu 0001, Chi-Hang Chan, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins A 12b 180MS/s 0.068mm2 pipelined-SAR ADC with merged-residue DAC for noise reduction. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sung-Youb Jung, Myeong-Jae Park, Minbok Lee, Joonseok Yang, Jaeha Kim Time slot optimization algorithm for multisource energy harvesting systems. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Saikrishna Ganta, Alfredo Tomasini, Ajay Taparia, Taehee Cho, Mandar Kulkarni, Ozan Erdogan A 12 bit, 2-MS/s, 0.016-mm2 column-parallel readout cyclic ADC, having 50% reduced slew rate requirement due to feed-forward spike eliminator. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pankaj Sharma, Laurent Syavoch Bernard, Antonios Bazigos, Arnaud Magrez, Laszlo Forro, Adrian M. Ionescu Reflection amplifier based on graphene. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1R. J. E. Jansen, Scott Lindner High-voltage tolerant bi-state self-biasing output driver using cascade complementary latches in twin-well CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Syed Ahmed Aamir, Paul Müller 0002, Andreas Hartel, Johannes Schemmel, Karlheinz Meier A highly tunable 65-nm CMOS LIF neuron for a large scale neuromorphic system. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1A. Ayres, Olivier Rozeau, B. Borot, Laurent Fesquet, Maud Vinet Delay partitioning helps reducing variability in 3DVLSI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Patrick Reynaert, Maarten Tytgat, Wouter Volkaerts, Alexander Standaert, Yang Zhang 0030, Maxime De Wit, Niels Van Thienen Polymer Microwave Fibers: A blend of RF, copper and optical communication. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hiroki Asano, Tetsuya Hirose, Keishi Tsubaki, Taro Miyoshi, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa A 1.66-nW/kHz, 32.7-kHz, 99.5ppm/°C fully integrated current-mode RC oscillator for real-time clock applications with PVT stability. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hundo Shin, Ramesh Harjani A 1GHz signal bandwidth 4-channel-I/Q polyphase-FFT filter bank. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ying Wu 0003, Mina Shahmohammadi, Yue Chen, Ping Lu 0002, Robert Bogdan Staszewski A 3.5-6.8GHz wide-bandwidth DTC-assisted fractional-N all-digital PLL with a MASH ΔΣ TDC for low in-band phase noise. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Milad Ataei, Alexis Boegli, Pierre-André Farine Phase and frequency self-configurable efficient low voltage harvester for zero power wearable devices. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Neelakantan Narasimman, Tony T. Kim A 0.3 V, 49 fJ/conv.-step VCO-based delta sigma modulator with self-compensated current reference for variation tolerance. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohamed Hussein Eissa, Ahmed Awny, Georg Winzer, Marcel Kroh, Stefan Lischke, Dieter Knoll, Lars Zimmermann, Dietmar Kissinger, Ahmet Cagri Ulusoy A wideband monolithically integrated photonic receiver in 0.25-µm SiGe: C BiCMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Raghavasimhan Thirunarayanan, David Ruffieux, Nicola Scolari, Christian C. Enz A 51.4 Mb/s FSK transmitter employing a Phase Domain Digital Synthesizer with 1.5 µs start-up for energy efficient duty cycling. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chia-Hung Chen, Yi Zhang 0023, Gabor C. Temes History, present state-of-art and future of incremental ADCs. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Girish Pahwa, Tapas Dutta, Amit Agarwal 0007, Yogesh Singh Chauhan Designing energy efficient and hysteresis free negative capacitance FinFET with negative DIBL and 3.5X ION using compact modeling approach. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wenjuan Guo, Nan Sun 0003 A 9.8b-ENOB 5.5fJ/step fully-passive compressive sensing SAR ADC for WSN applications. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hazar Yueksel, Matthias Braendli, Andreas Burg, Giovanni Cherubini, Roy D. Cideciyan, Pier Andrea Francese, Simeon Furrer, Marcel A. Kossel, Lukas Kull, Danny Luu, Christian Menolfi, Thomas Morf, Thomas Toifl A 4.1 pJ/b 25.6 Gb/s 4-PAM reduced-state sliding-block Viterbi detector in 14 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thomas Toifl, Matthias Braendli, Alessandro Cevrero, Pier Andrea Francese, Marcel A. Kossel, Lukas Kull, Danny Luu, Christian Menolfi, Thomas Morf, Ilter Özkaya, Hazar Yueksel Design considerations for 50G+ backplane links. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Markus Scholl, Ye Zhang 0003, Ralf Wunderlich, Stefan Heinen A 80 nW, 32 kHz charge-pump based ultra low power oscillator with temperature compensation. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arindam Sanyal, Nan Sun 0001 A 55fJ/conv-step hybrid SAR-VCO ΔΣ capacitance-to-digital converter in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael Kalcher, Daniel Gruber, Davide Ponton Self-aligned open-loop local quadrature phase generator. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Avishek Biswas, Anantha P. Chandrakasan A 0.36V 128Kb 6T SRAM with energy-efficient dynamic body-biasing and output data prediction in 28nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tilo Meister, Koichi Ishida, Reza Shabanpour, Bahman Kheradmand Boroujeni, Corrado Carta, Niko Münzenrieder, Luisa Petti, Giuseppe Cantarella, Giovanni A. Salvatore, Gerhard Tröster, Frank Ellinger 20.3dB 0.39mW AM detector with single-transistor active inductor in bendable a-IGZO TFT. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Neelanjan Sarmah, Klaus Aufinger, Rudolf Lachner, Ullrich R. Pfeiffer A 200-225 GHz SiGe Power Amplifier with peak Psat of 9.6 dBm using wideband power combination. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaoyan Wang 0002, Johan H. C. van den Heuvel, Gert-Jan van Schaik, Chuang Lu, Yuming He, Ao Ba, Benjamin Busze, Ming Ding 0003, Yao-Hong Liu, Nick Winkel, Menno Wildeboer, Christian Bachmann, Kathleen Philips A 0.9-1.2V supplied, 2.4GHz Bluetooth Low Energy 4.0/4.2 and 802.15.4 transceiver SoC optimized for battery life. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Samantak Gangopadhyay, Saad Bin Nasir, A. Subramanian, Visvesh Sathe 0001, Arijit Raychowdhury UVFR: A Unified Voltage and Frequency Regulator with 500MHz/0.84V to 100KHz/0.27V operating range, 99.4% current efficiency and 27% supply guardband reduction. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tetsuya Iizuka, Norihito Tohge, Satoshi Miura, Yoshimichi Murakami, Toru Nakura, Kunihiro Asada A 4-cycle-start-up reference-clock-less all-digital burst-mode CDR based on cycle-lock gated-oscillator with frequency tracking. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Junmin Jiang, Yan Lu 0002, Wing-Hung Ki A digitally-controlled 2-/3-phase 6-ratio switched- capacitor DC-DC converter with adaptive ripple reduction and efficiency improvements. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alexis Schindler, Benno Koeppl, Ansgar Pottbaecker, Markus Zannoth, Bernhard Wicht Gate driver with 10 / 15ns in-transition variable drive current and 60% reduced current dip. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hamidreza Aghasi, Ehsan Afshari Design of broadband mm-wave and THz frequency doublers. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fady Abouzeid, Christophe Bernicot, Sylvain Clerc, Jean-Marc Daveau, Gilles Gasiot, Daniel Noblet, Dimitri Soussan, Philippe Roche 30% static power improvement on ARM Cortex®-A53 using static biasing-anticipation. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sang-Hoon Kim, Hoon Shin, Youngkyun Jeong, June-Hee Lee, Jaehyuk Choi 0001, Jung-Hoon Chun A 12-Gb/s dual-channel transceiver for CMOS image sensor systems. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Priyanka Raina, Mehul Tikekar, Anantha P. Chandrakasan An energy-scalable accelerator for blind image deblurring. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Valentijn De Smedt, Jef Thone, Mike Wens A 650 V, 3 A three-phase fully-integrated BLDC motor driver with charge pump and level shifters. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jan Cools, Patrick Reynaert A 40nm bulk CMOS line driver for broadband communication. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marco Grassi, Fabrizio Conso, Gino Rocca, Piero Malcovati, Andrea Baschirotto A multi-mode SC audio ΣΔ Modulator for MEMS microphones with reconfigurable power consumption, noise-shaping order, and DR. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Karim Rawy, Felix Kalathiparambil George, Dominic Maurath, Tony T. Kim A time-based self-adaptive energy-harvesting MPPT with 5.1-µW power consumption and a wide tracking range of 10-µA to 1-mA. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Eric P. Pepin, John P. Uehlin, Daniel Micheletti, Steve I. Perlmutter, Jacques Christophe Rudell A high-voltage compliant, electrode-invariant neural stimulator front-end in 65nm bulk-CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fabio Padovan, Marc Tiebout, Andrea Neviani, Andrea Bevilacqua A 15.5-39GHz BiCMOS VGA with phase shift compensation for 5G mobile communication transceivers. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kareem Ragab, Nan Sun 0001 A 1.4mW 8b 350MS/s loop-unrolled SAR ADC with background offset calibration in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016 Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  BibTeX  RDF
1Masahiro Tanaka, Kota Tsurumi, Tomoya Ishii, Ken Takeuchi Heterogeneously integrated program voltage generator for 1.0V operation NAND flash with best mix & match of standard CMOS process and NAND flash process. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xuqiang Zheng, Chun Zhang, Fangxu Lv, Feng Zhao 0004, Shigang Yue, Ziqiang Wang, Fule Li, Zhihua Wang 0001 A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based FFE in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shing Tak Yan, Lu Ye, Hongbing Wu, Raghavendra Kulkarni, Edward Myers, Hsieh-Chih Shih, Shadi Saberi, Darshan Kadia, Dizle Ozis, Lei Zhou, Eric Middleton, Joo Leong Tham An 802.11a/b/g/n/ac WLAN Transceiver for 2×2 MIMO and simultaneous dual-band operation with +29 dBm Psat integrated power amplifiers. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andrea Barbieri, Sergio Pernici A Differential Difference Amplifier with Dynamic Resistive Degeneration for MEMS microphones. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiyuan Tang, Long Chen 0004, Jeonggoo Song, Nan Sun 0001 A 10-b 750µW 200MS/s fully dynamic single-channel SAR ADC in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nachiket V. Desai, Anantha P. Chandrakasan A ZVS resonant receiver with maximum efficiency tracking for device-to-device wireless charging. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shih-En Chen, Kuang-Wei Cheng A 433 MHz 54 µW OOK/FSK/PSK compatible wake-up receiver with 11 µW low-power mode based on injection-locked oscillator. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Niels Van Thienen, Yang Zhang 0030, Maxime De Wit, Patrick Reynaert An 18Gbps polymer microwave fiber (PMF) communication link in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mehmet Batuhan Dayanik, Nicholas Collins, Michael P. Flynn A 28.5-33.5GHz fractional-N PLL using a 3rd order noise shaping time-to-digital converter with 176fs resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Krishna T. Settaluri, Sen Lin, Sajjad Moazeni, Erman Timurdogan, Chen Sun 0003, Michele Moresco, Zhan Su 0001, Yu-Hsin Chen, Gerald Leake, Douglas LaTulipe, Colin McDonough, Jeremiah Hebding, Douglas Coolbaugh, Michael Watts, Vladimir Stojanovic Demonstration of an optical chip-to-chip link in a 3D integrated electronic-photonic platform. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amrith Sukumaran, Shanthi Pavan A continuous-time ΔΣ modulator with 91dB dynamic range in a 2 MHz signal bandwidth using a dual switched-capacitor return-to-zero DAC. Search on Bibsonomy ESSCIRC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license