|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1102 occurrences of 642 keywords
|
|
|
Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Yongjian Li, Kaiqiang Duan, Yi Lv, Jun Pang 0001, Shaowei Cai 0001 |
A novel approach to parameterized verification of cache coherence protocols. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Samira Ataei, James E. Stine, Matthew R. Guthaus |
A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Rekha Govindaraj, Swaroop Ghosh |
A strong arbiter PUF using resistive RAM within 1T-1R memory architecture. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ayaz Akram, Lina Sawalha |
×86 computer architecture simulators: A comparative study. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Aliyar Attaran, Hassan Salmani, Houman Homayoun, Hamid Mahmoodi |
Dynamic single and Dual Rail spin transfer torque look up tables with enhanced robustness under CMOS and MTJ process variations. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Simon J. Hollis, Edward Ma, Radu Marculescu |
nOS: A nano-sized distributed operating system for many-core embedded systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yang Liu 0044, Hung-Wei Tseng 0001, Mark Gahagan, Jing Li 0021, Yanqin Jin, Steven Swanson |
Hippogriff: Efficiently moving data in heterogeneous computing systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ching Zhou, Yu-Shiang Lin, Pong-Fei Lu, Bruce M. Fleischer, David J. Frank, Leland Chang |
Synthesis design strategies for energy-efficient microprocessors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yang Liu 0044, Hung-Wei Tseng 0001, Steven Swanson |
SPMario: Scale up MapReduce with I/O-Oriented Scheduling for the GPU. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Qi Jia, Huiyang Zhou |
Tuning Stencil codes in OpenCL for FPGAs. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Pai-Shun Ting, John P. Hayes |
Isolation-based decorrelation of stochastic circuits. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Cunlu Li, Dezun Dong, Xiangke Liao, Fei Lei, Ji Wu 0006 |
CCAS: Contention and congestion aware switch allocation for network-on-chips. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Nektarios Georgios Tsoutsos, Michail Maniatakos |
Cryptographic vote-stealing attacks against a partially homomorphic e-voting architecture. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Saumya Chandra, Ramkumar Jayaseelan, Ravi Bhargava |
Speculative path power estimation using trace-driven simulations during high-level design phase. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Khondker Zakir Ahmed, Saibal Mukhopadhyay |
A single-inductor-cascaded-stage topology for high conversion ratio boost regulator. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Shin-Ying Lee, Carole-Jean Wu |
Ctrl-C: Instruction-Aware Control Loop Based Adaptive Cache Bypassing for GPUs. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Omid Assare, Rajesh K. Gupta 0001 |
Strategies for optimal operating point selection in timing speculative processors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Fatemeh Aghaaliakbari, Mohaddeseh Hoveida, Mohammad Arjomand, Majid Jalili 0001, Hamid Sarbazi-Azad |
Efficient processor allocation in a reconfigurable CMP architecture for dark silicon era. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xinying Wang 0004, Joseph Zambreno |
Parallelizing Latent Semantic Indexing using an FPGA-based architecture. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Andreas Sembrant, Erik Hagersten, David Black-Schaffer |
Data placement across the cache hierarchy: Minimizing data movement with reuse-aware placement. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yesung Kang, Jaewoo Kim, Seokhyeong Kang |
Novel approximate synthesis flow for energy-efficient FIR filter. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yin Liu 0002, Hariharasudhan Venkataraman, Zisheng Zhang, Keshab K. Parhi |
Machine learning classifiers using stochastic logic. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Mounika Ponugoti, Aleksandar Milenkovic |
Exploiting cache coherence for effective on-the-fly data tracing in multicores. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Sikhar Patranabis, Debapriya Basu Roy, Praveen Kumar Vadnala, Debdeep Mukhopadhyay, Santosh Ghosh |
Shuffling across rounds: A lightweight strategy to counter side-channel attacks. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Arash Nejat, David Hély, Vincent Beroulle |
How logic masking can improve path delay analysis for Hardware Trojan detection. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yisong Chang, Ke Zhang 0017, Sally A. McKee, Lixin Zhang 0002, Mingyu Chen 0001, Liqiang Ren, Zhiwei Xu 0002 |
Extending On-chip Interconnects for rack-level remote resource access. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Hasan Erdem Yantir, Mohammed E. Fouda, Ahmed M. Eltawil, Fadi J. Kurdahi |
Process variations-aware resistive associative processor design. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xian Zhu, Mihir Awatramani, Diane T. Rover, Joseph Zambreno |
ONAC: Optimal number of active cores detector for energy efficient GPU computing. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Monther Abusultan, Sunil P. Khatri |
Implementing low power digital circuits using flash devices. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Vasil Pano, Isikcan Yilmaz, Ankit More, Baris Taskin |
Energy aware routing of multi-level Network-on-Chip traffic. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yanbing Jiang, Chentao Wu, Jie Li 0002, Minyi Guo |
BDR: A Balanced Data Redistribution scheme to accelerate the scaling process of XOR-based Triple Disk Failure Tolerant arrays. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Liang Wang 0055, Kevin Skadron |
Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad-Mahdi Bidmeshki, Gaurav Rajavendra Reddy, Liwei Zhou, Jeyavijayan Rajendran, Yiorgos Makris |
Hardware-based attacks to compromise the cryptographic security of an election system. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ping-Lin Yang, Malgorzata Marek-Sadowska |
A fast, fully verifiable, and hardware predictable ASIC design methodology. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Itir Akgun, Jia Zhan, Yuangang Wang, Yuan Xie 0001 |
Scalable memory fabric for silicon interposer-based multi-core systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xue Lin, Yuankun Xue, Paul Bogdan, Yanzhi Wang, Siddharth Garg, Massoud Pedram |
Power-aware virtual machine mapping in the data-center-on-a-chip paradigm. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Tiago T. Jost, Gabriel L. Nazar, Luigi Carro |
Scalable memory architecture for soft-core processors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Caiwen Ding, Hongjia Li, Weiwei Zheng, Yanzhi Wang, Naehyuck Chang, Xue Lin |
Luminescent solar concentrator-based photovoltaic reconfiguration for hybrid and plug-in electric vehicles. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Vasil Pano, Isikcan Yilmaz, Yuqiao Liu 0001, Baris Taskin, Kapil R. Dandekar |
Wireless Network-on-Chip analysis of propagation technique for on-chip communication. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Taizhi Liu, Chang-Chih Chen, Jiadong Wu, Linda S. Milor |
SRAM stability analysis for different cache configurations due to Bias Temperature Instability and Hot Carrier Injection. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Caiwen Ding, Hongjia Li, Jingtong Hu, Yongpan Liu, Yanzhi Wang |
Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Zhe Li 0001, Ao Ren, Ji Li 0006, Qinru Qiu, Yanzhi Wang, Bo Yuan 0001 |
DSCNN: Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Emre Neftci |
Stochastic neuromorphic learning machines for weakly labeled data. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Monodeep Kar, Arvind Singh, Anand Rajan, Vivek De, Saibal Mukhopadhyay |
What does ultra low power requirements mean for side-channel secure cryptography? |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yan Sui, Chun Yang, Dong Tong 0001, Xianhua Liu 0001, Xu Cheng 0001 |
MFAP: Fair Allocation between fully backlogged and non-fully backlogged applications. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Airan Shao, Dongsheng Wang 0002, Haixia Wang 0001 |
Pull-off buffer: Borrowing cache space to avoid deadlock for fault-tolerant NoC routing. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Abbas A. Fairouz, Monther Abusultan, Sunil P. Khatri |
A novel hardware hash unit design for modern microprocessors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Wei-Ting Jonas Chan, Yang Du 0001, Andrew B. Kahng, Siddhartha Nath, Kambiz Samadi |
BEOL stack-aware routability prediction from placement using data mining techniques. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Byungchul Hong, Yongkee Kwon, Jung Ho Ahn, John Kim |
Adaptive and flexible key-value stores through soft data partitioning. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Hayato Nomura, Hiroyuki Katchi, Hidetsugu Irie, Shuichi Sakai |
"Stubborn" strategy to mitigate remaining cache misses. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Satendra Kumar, Ankur Gupta 0002, Sudip Roy 0001, Bhargab B. Bhattacharya |
Design automation of multiple-demand mixture preparation using a K-array rotary mixer on digital microfluidic biochips. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Martí Anglada, Ramon Canal, Juan L. Aragón, Antonio González 0001 |
MASkIt: Soft error rate estimation for combinational circuits. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Akramul Azim, Sebastian Fischmeister |
Efficient mode changes in multi-mode systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Meysam Taassori, Ali Shafiee, Rajeev Balasubramonian |
Understanding and alleviating intra-die and intra-DIMM parameter variation in the memory system. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xun Jiao, Yu Jiang 0001, Abbas Rahimi, Rajesh K. Gupta 0001 |
WILD: A workload-based learning model to predict dynamic delay of functional units. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Rafael Trapani Possignolo, Elnaz Ebrahimi 0001, Haven Blake Skinner, Jose Renau |
Fluid Pipelines: Elastic circuitry meets Out-of-Order execution. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Vinayaka Jyothi, Manasa Thoonoli, Richard Stern, Ramesh Karri |
FPGA Trust Zone: Incorporating trust and reliability into FPGA designs. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Guojin Wu, Yuhui Deng, Xiao Qin 0001 |
Using Provenance to boost the Metadata Prefetching in distributed storage systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Nadja Peters, Dominik Fuss, Sangyoung Park, Samarjit Chakraborty |
Frame-based and thread-based power management for mobile games on HMP platforms. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Peng Gu, Dylan C. Stow, Russell Barnes, Eren Kursun, Yuan Xie 0001 |
Thermal-aware 3D design for side-channel information leakage. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ramin Fallahzadeh, Hassan Ghasemzadeh 0001 |
CyHOP: A generic framework for real-time power-performance optimization in networked wearable motion sensors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Venkata Yaswanth Raparti, Nishit Ashok Kapadia, Sudeep Pasricha |
CHARM: A checkpoint-based resource management framework for reliable multicore computing in the dark silicon era. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chen Li 0015, Sheng Ma, Lu Wang 0019, Zicong Wang, Xia Zhao 0004, Yang Guo 0003 |
DLL: A dynamic latency-aware load-balancing strategy in 2.5D NoC architecture. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Santiago Bock, Bruce R. Childers, Rami G. Melhem, Daniel Mossé |
Concurrent Migration of Multiple Pages in software-managed hybrid main memory. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Junghoon Lee, Taehoon Kim 0001, Jaehyuk Huh 0001 |
Dynamic prefetcher reconfiguration for diverse memory architectures. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | George Papadimitriou 0001, Dimitris Gizopoulos, Athanasios Chatzidimitriou, Tom Kolan, Anatoly Koyfman, Ronny Morad, Vitali Sokhin |
Unveiling difficult bugs in address translation caching arrays for effective post-silicon validation. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | S. Karen Khatamifard, Michael Resch 0002, Nam Sung Kim, Ulya R. Karpuzcu |
VARIUS-TC: A modular architecture-level model of parametric variation for thin-channel switches. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xushen Han, Dajiang Zhou, Shihao Wang, Shinji Kimura |
CNN-MERP: An FPGA-based memory-efficient reconfigurable processor for forward and backward propagation of convolutional neural networks. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xin Shi, Fei Wu 0005, Xidong Guan, Changsheng Xie |
Error behaviors testing with temperature and magnetism dependency for MRAM. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Rizwana Begum, Mark Hempstead, Guru Prasad Srinivasa, Geoffrey Challen |
Algorithms for CPU and DRAM DVFS under inefficiency constraints. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Kevin Hsieh, Samira Manabi Khan, Nandita Vijaykumar, Kevin K. Chang, Amirali Boroumand, Saugata Ghose, Onur Mutlu |
Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Keni Qiu, Yuanhui Ni, Weigong Zhang, Jing Wang 0055, Xiaoqiang Wu, Chun Jason Xue, Tao Li 0006 |
An adaptive Non-Uniform Loop Tiling for DMA-based bulk data transfers on many-core processor. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Majed Valad Beigi, Gokhan Memik |
TESLA: Using microfluidics to thermally stabilize 3D stacked STT-RAM caches. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Lei Liu 0030, Hao Yang, Yong Li, Mengyao Xie, Lian Li, Chenggang Wu 0002 |
Memos: A full hierarchy hybrid memory management framework. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Kyu Yeun Kim, Jinsu Park, Woongki Baek |
IACM: Integrated adaptive cache management for high-performance and energy-efficient GPGPU computing. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chang Song 0001, Beiye Liu, Chenchen Liu, Hai Li 0001, Yiran Chen 0001 |
Design techniques of eNVM-enabled neuromorphic computing systems. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xia Zhao 0004, Sheng Ma, Chen Li 0015, Lieven Eeckhout, Zhiying Wang 0003 |
A heterogeneous low-cost and low-latency Ring-Chain network for GPGPUs. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Umair Ullah Tariq, Hui Wu 0001 |
Energy-aware scheduling of conditional task graphs with deadlines on MPSoCs. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Keni Qiu, Junpeng Luo, Zhiyao Gong, Weigong Zhang, Jing Wang 0055, Yuanchao Xu 0002, Tao Li 0006, Chun Jason Xue |
Refresh-aware loop scheduling for high performance low power volatile STT-RAM. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Daniel Große, Hoang M. Le, Muhammad Hassan 0002, Rolf Drechsler |
Guided lightweight Software test qualification for IP integration using Virtual Prototypes. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Xi-Yue Xiang, Saugata Ghose, Onur Mutlu, Nian-Feng Tzeng |
A model for Application Slowdown Estimation in on-chip networks and its use for improving system fairness and performance. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | |
34th IEEE International Conference on Computer Design, ICCD 2016, Scottsdale, AZ, USA, October 2-5, 2016 |
ICCD |
2016 |
DBLP BibTeX RDF |
|
1 | Wei Shu, Nian-Feng Tzeng |
Relinquishment coherence for enhancing directory efficiency in chip multiprocessors. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Steffen Peter, Tony Givargis |
Towards a timing attack aware high-level synthesis of integrated circuits. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Mehran Goli, Jannis Stoppe, Rolf Drechsler |
AIBA: An Automated Intra-cycle Behavioral Analysis for SystemC-based design exploration. |
ICCD |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Manjunath Shevgoor, Naveen Muralimanohar, Rajeev Balasubramonian, Yoocharn Jeon |
Improving memristor memory with sneak current sharing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Vijay Kiran Kalyanam, Martin Saint-Laurent, Jacob A. Abraham |
Power-aware multi-voltage custom memory models for enhancing RTL and low power verification. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Nicola Bombieri, Federico Busato, Alessandro Danese, Luca Piccolboni, Graziano Pravadelli |
Exploiting GPU architectures for dynamic invariant mining. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chih-Hsun Chou, Laxmi N. Bhuyan |
A multicore vacation scheme for thermal-aware packet processing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Abdullah Muzahid |
Hardware support for production run diagnosis of performance bugs. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Pasquale Corsonello, Stefania Perri, Fabio Frustaci |
Exploring well configurations for voltage level converter design in 28 nm UTBB FDSOI technology. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Dharanidhar Dang, Rabi N. Mahapatra, Eun Jung Kim 0001 |
PID controlled thermal management in photonic network-on-chip. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Alok Prakash, Siqi Wang, Alexandru Eugen Irimiea, Tulika Mitra |
Energy-efficient execution of data-parallel applications on heterogeneous mobile platforms. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Prateek Puri, Michael S. Hsiao |
SI-SMART: Functional test generation for RTL circuits using loop abstraction and learning recurrence relationships. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Fernando García-Redondo, Marisa López-Vallejo, Pablo Ituero |
A thermal adaptive scheme for reliable write operation on RRAM based architectures. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ishan G. Thakkar, Sudeep Pasricha |
A novel 3D graphics DRAM architecture for high-performance and low-energy memory accesses. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Bhargavraj Patel, Nikos Hardavellas, Gokhan Memik |
SCP: Synergistic cache compression and prefetching. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Xiaowei Liu, Alex Doboli, Fan Ye 0003 |
Optimized local control strategy for voice-based interaction-tracking badges for social applications. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Elena Kakoulli, Vassos Soteriou, Charalambos Koutsides, Kyriacos Kalli |
Design of high-performance, power-efficient optical NoCs using Silica-embedded silicon nanophotonics. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Md. Tauhidur Rahman 0001, Domenic Forte, Fahim Rahman, Mark M. Tehranipoor |
A pair selection algorithm for robust RO-PUF against environmental variations and aging. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
|
|