The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Deivid Antunes Tesch, Everton Luís Berz, Fabiano Hessel RFID indoor localization based on Doppler effect. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tun Li, Jian Hu, Yang Guo 0003, Sikun Li, QingPing Tan Equivalence checking of scheduling in high-level synthesis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zijian Hou, Xin Chen, Weifeng He Improved pipeline data flow for DySER-based platform. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jihai Duan, Qiangyu Hao, Yu Zheng, Baolin Wei, Weilin Xu, Shichao Xu Design of an incoherent IR-UWB receiver front-end in 180-nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maya H. Safieddine, Rouwaida Kanj, Fadi A. Zaraket, Ali S. Elzein, Mohamad Jaber 0001 Separation of concerns for hardware components of embedded systems in BIP. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Panagiotis Sismanoglou, Vlasis Pitsios, Dimitris Nikolos Preemptive built-in self-test for in-field structural testing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wenjie Huang, Lei Wang 0003 Adaptive tracking channel control for GNSS receivers under renewable energy. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hao Wen 0002, Wei Zhang 0002 Exploring shared memory and cache to improve GPU performance and energy efficiency. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arvind Kumar Sharma, Yogendra Sharma, Sudeb Dasgupta, Bulusu Anand Efficient static D-latch standard cell characterization using a novel setup time model. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Norihiro Kamae, Islam A. K. M. Mahfuzul, Akira Tsuchiya, Tohru Ishihara, Hidetoshi Onodera Energy reduction by built-in body biasing with single supply voltage operation. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jarbas Silveira, Mathieu Bodin, Joao Marcelo Ferreira, Alan Cadore Pinheiro, Thais Webber, César A. M. Marcon A fault prediction module for a fault tolerant NoC operation. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xing Huang, Wenzhong Guo, Guolong Chen Fast obstacle-avoiding octilinear steiner minimal tree construction algorithm for VLSI design. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Saeed Abrishami, Alireza Shafaei, Yanzhi Wang, Massoud Pedram Optimal choice of FinFET devices for energy minimization in deeply-scaled technologies. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abdalrahman M. Arafeh, Sadiq M. Sait Cells reconfiguration around defects in CMOS/nanofabric circuits using simulated evolution heuristic. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masayuki Watanabe, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Masa-Aki Fukase, Masashi Imai, Atsushi Kurokawa An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun Yong Shin, Fadi J. Kurdahi, Nikil D. Dutt Thermal sensor allocation for SoCs based on temperature gradients. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tae-Jin Chung, Kwang Sub Yoon A CMOS hysteretic DC-DC buck converter with a low output ripple voltage. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1James Boley, Benton H. Calhoun Stack based sense amplifier designs for reducing input-referred offset. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yakup Murat Mert, Osman Seckin Simsek Employing dynamic body-bias for short circuit power reduction in SRAMs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zheng Wang 0020, Liu Yang, Anupam Chattopadhyay Architectural reliability estimation using design diversity. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Menglong Guan, Lei Wang 0003 Temperature aware refresh for DRAM performance improvement in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mahmoud Elbayoumi, Michael S. Hsiao, Mustafa ElNainay Novel SAT-based invariant-directed low-power synthesis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Saraju P. Mohanty, Elias Kougianos, Venkata P. Yanambaka Ultra-fast variability-aware optimization of mixed-signal designs using bootstrapped kriging. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paul Leons, Aryan Yaghoubian, Glenn E. R. Cowan, Jelena Trajkovic, Yvon Nazon, Samar Abdi On improving the range of inductive proximity sensors for avionic applications. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Teng Xu 0001, Miodrag Potkonjak Digital PUF using intentional faults. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Meeta Srivastav, Leyla Nazhandali Study of the impact of aging on many-core energy-efficient DSP systems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hailang Wang, Emre Salman Enhancing system-wide power integrity in 3D ICs with power gating. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Cai 0001, Ken Mai, Onur Mutlu Comparative evaluation of FPGA and ASIC implementations of bufferless and buffered routing algorithms for on-chip networks. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Karthi Duraisamy, Ryan Gary Kim, Partha Pratim Pande Enhancing performance of wireless NoCs with distributed MAC protocols. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jianmo Ni, Nan Wang 0003, Takeshi Yoshimura Tabu search based multiple voltage scheduling under both timing and resource constraints. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jui-Hung Hung, Yu-Cheng Lin, Wei-Kai Cheng, Tsai-Ming Hsieh A logic difference generator with spare cells consideration for ECO synthesis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Farah B. Yahya, Mohammad M. Mansour, James W. Tschanz, Muhammad M. Khellah Designing low-VTh STT-RAM for write energy reduction in scaled technologies. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhaohui Cyril Yuan, Rong Zhu, Yiqin Cao, Guifen Jiang Adaptive mode assignment in performance-critical cyber-physical systems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Florin Balasa, Noha Abuaesh, Ilie I. Luican, Hongwei David Zhu Scratch-pad memory banking by dynamic programming for embedded data-intensive applications. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jomu George Mani Paret, Otmane Aït Mohamed Optimum domain partitioning to increase functional verification coverage. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hailang Wang, Emre Salman Resource allocation methodology for through silicon vias and sleep transistors in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cheng-Wei Lin, Swaroop Ghosh Novel self-calibrating recycling sensor using Schmitt-Trigger and voltage boosting for fine-grained detection. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jae-Won Jang, Swaroop Ghosh Design and analysis of novel SRAM PUFs with embedded latch for robustness. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-An Huang, Li-Chuang, Kim Hsu, Steel Chung, Tim Chan A novel physical failure analysis of MEMS motion sensor for interface inspection. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xinsheng Wang, Wenpan Liu, Mingyan Yu A distinctive O(mn) time algorithm for optimal buffer insertions. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marcelo Mandelli, Luciano Ost, Gilles Sassatelli, Fernando Gehm Moraes Trading-off system load and communication in mapping heuristics for improving NoC-based MPSoCs reliability. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seok Min Jung, Janet Meiling Roveda A radiation-hardened-by-design phase-locked loop using feedback voltage controlled oscillator. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luke Pierce, Spyros Tragoudas Unreachable code identification for improved line coverage. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luv Tomar, Saurabh Gupta, Raghuvir Tomar, Prakash Bhartia Design and analysis of low pass microstrip filters using MATLAB. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015 Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  BibTeX  RDF
1Jude Angelo Ambrose, Roshan G. Ragel, Darshana Jayasinghe, Tuo Li 0001, Sri Parameswaran Side channel attacks in embedded systems: A tale of hostilities and deterrence. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Md Tanvir Arafin, Carson Dunbar, Gang Qu 0001, Nathan R. McDonald, L. Yan A survey on memristor modeling and security applications. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyunyul Lim, Wooheon Kang, Sungyoul Seo, Yong Lee 0002, Sungho Kang 0001 Low power scan bypass technique with test data reduction. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Samaneh Ghandali, Bijan Alizadeh, Zainalabedin Navabi Low power scheduling in high-level synthesis using dual-Vth library. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lihua Liang, Yuanxiang Zhang, Richard Rao Impact of geometry parameter on electromigration reliability in FCBGA package. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyungjung Seo, Jeongwoo Heo, Taewhan Kim Clock skew optimization for maximizing time margin by utilizing flexible flip-flop timing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ming Fan 0001, Qiushi Han, Shuo Liu 0001, Gang Quan On-line reliability-aware dynamic power management for real-time systems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matheus T. Moreira, Dylan Hand, Peter A. Beerel, Ney Laert Vilar Calazans TDTB error detecting latches: Timing violation sensitivity analysis and optimization. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tianqi Wang, Liyi Xiao, Mingxue Huo, Chunhua Qi, Shanshan Liu 0001 Novel technique for P-hit single-event transient mitigation using enhance dummy transistor. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1SheXiao Xuan, Y. Yang Temperature-aware thread assignment of many-core processor. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-Kai Chang, Chung-Hsin Su, Yung-Hua Kao, Ming-Hung Yu, Thilo Sauter, Paul C.-P. Chao 0001 A new single inductor bipolar multiple output (SIBMO) boost converter using pulse frequency modulation (PFM) control for OLED drivers and optical transducers. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nijad Anabtawi, Rony Ferzli A simplified single-inductor dual-output DC-DC buck converter architecture with a fully digital Σ-Δ based controller. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yao Chen, Andrew B. Kahng, Bao Liu 0001, Wenjun Wang Crosstalk-aware signal probability-based dynamic statistical timing analysis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hsin-Ju Chang, Yen-Lung Chen, Conan Yeh, Chien-Nan Jimmy Liu Layout-aware analog synthesis environment with yield consideration. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Taizhi Liu, Chang-Chih Chen, Linda S. Milor Accurate standard cell characterization and statistical timing analysis using multivariate adaptive regression splines. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Srivatsan Chellappa, Chandarasekaran Ramamurthy, Vinay Vashishtha, Lawrence T. Clark Advanced encryption system with dynamic pipeline reconfiguration for minimum energy operation. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel P. Seemuth, Azadeh Davoodi, Katherine Morrow Automatic die placement and flexible I/O assignment in 2.5D IC design. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Liyi Xiao, Jiaqiang Li, Jie Li 0030, Jing Guo 0004 Hardened design based on advanced orthogonal Latin code against two adjacent multiple bit upsets (MBUs) in memories. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pankaj Kumar Pal, Brajesh Kumar Kaushik, B. Anand, S. Dasgupta A comparative analysis of symmetric and asymmetric dual-k spacer FinFETs from device and circuit perspectives. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaya Dofe, Connor Reed, Ning Zhang, Qiaoyan Yu Fault-tolerant methods for a new lightweight cipher SIMON. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Roohollah Yarmand, Behzad Ebrahimi, Hassan Afzali-Kusha, Ali Afzali-Kusha, Massoud Pedram High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera An energy-efficient on-chip memory structure for variability-aware near-threshold operation. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seungwon Kim, Seokhyung Kang, Ki Jin Han, Youngmin Kim Novel adaptive power gating strategy of TSV-based multi-layer 3D IC. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shuo Wang, Yue Gao, Melvin A. Breuer GlYFF: A framework for global yield and floorplan aware design optimization. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hend Affes, Amal Chaker, Michel Auguin Application and OS unconscious power manager for SoC systems. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chieh-Yang Chen, Wen-Tsung Huang, Yiming Li 0005 Electrical characteristic and power consumption fluctuations of trapezoidal bulk FinFET devices and circuits induced by random line edge roughness. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sungyoul Seo, Yong Lee 0002, Joohwan Lee, Sungho Kang 0001 A scan shifting method based on clock gating of multiple groups for low power scan testing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sylvain Clerc, Fady Abouzeid, Darayus Adil Patel, Jean-Marc Daveau, Cyril Bottoni, Lorenzo Ciampolini, Fabien Giner, David Meyer, Robin Wilson, Philippe Roche, Sylvie Naudet, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001 Design and performance parameters of an ultra-low voltage, single supply 32bit processor implemented in 28nm FDSOI technology. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fen Ge, Jia Zhan, Yuan Xie 0001, Vijaykrishnan Narayanan Exploring memory controller configurations for many-core systems with 3D stacked DRAMs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kentaroh Katoh, Kazuteru Namba A low area calibration technique of TDC using variable clock generator for accurate on-line delay measurement. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Samir Zampiva, Carlos Moratelli, Fabiano Hessel A hypervisor approach with real-time support to the MIPS M5150 processor. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Karthik Yogendra, Mei-Chin Chen, Xuanyao Fong, Kaushik Roy 0001 Domain wall motion-based low power hybrid spin-CMOS 5-bit Flash Analog Data Converter. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nourhan M. Bahgat, DiaaEldin S. Khalil, Salwa H. El-Ramly Energy efficient design of DVB-T2 constellation demapper. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chiung-Chih Ho, Hsin-Pei Tsai, Liang-Chi Lai, Rung-Bin Lin A router for via configurable structured ASIC with standard cells and relocatable IPs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Surajit Kumar Roy, Kaustav Roy, Chandan Giri, Hafizur Rahaman 0001 Recovery of faulty TSVs in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gary Brist, John Park A novel approach to IC, package and board co-optimization. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Brandon Rumberg, David W. Graham A low-power field-programmable analog array for wireless sensing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Prateek Pendyala, Vijaya Sankara Rao Pasupureddi RT-MIL-STD-1553+: Remote terminal controller for MIL-STD-1553B at 100-Mb/s data rate. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jon Nafziger, Dan Burggraf Method for efficient flash bit cell current compression in deeply erased bits. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anirban Sengupta, Vipul Kumar Mishra Integrated particle swarm optimization (i-PSO): An adaptive design space exploration framework for power-performance tradeoff in architectural synthesis. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniela De Venuto, Peter Ledochowitsch, Michel Maharabitz, Jan M. Rabaey Impedance modeling of the intracortical microelectrode for a reliable design of a brain activity recording system. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Konstantis Daloukas, Nestor E. Evmorfopoulos, Panagiota E. Tsompanopoulou, George I. Stamoulis A 3-D Fast Transform-based preconditioner for large-scale power grid analysis on massively parallel architectures. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dinesh Ganta, Leyla Nazhandali Circuit-level approach to improve the temperature reliability of Bi-stable PUFs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Samaneh Ghandali, Bijan Alizadeh, Masahiro Fujita, Zainalabedin Navabi RTL datapath optimization using system-level transformations. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Le Zhang, Vivek Sarin An enlarged-partition based preconditioned iterative solver for parallel power grid simulation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yucai Wang, Vamsy P. Chodavarapu Design of a CMOS readout circuit for wide-temperature range capacitive MEMS sensors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Sun 0001, Ayumi Soga, Takahiro Onagi, Koh Johguchi, Ken Takeuchi A workload-aware-design of 3D-NAND flash memory for enterprise SSDs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carlos Tadeo Ortega Otero, Jonathan Tse, Robert Karmazin, Benjamin Hill 0001, Rajit Manohar ULSNAP: An ultra-low power event-driven microcontroller for sensor network nodes. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hoda Pahlevanzadeh, Qiaoyan Yu Systematic analyses for latching probability of single-event transients. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zissis Poulos, Yu-Shen Yang, Andreas G. Veneris, Bao Le Simulation and satisfiability guided counter-example triage for RTL design debugging. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thilo Sauter, Thomas Glatzl, Franz Kohl, Harald Steiner, Almir Talic Thermal flow sensors based on printed circuit board technology. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Saad Bin Nasir, Youngtak Lee, Arijit Raychowdhury Modeling and analysis of system stability in a distributed power delivery network with embedded digital linear regulators. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Makoto Miyamura, Toshitsugu Sakamoto, Munehiro Tada, Naoki Banno, Koichiro Okamoto, Noriyuki Iguchi, Hiromitsu Hada Low-power programmable-logic cell arrays using nonvolatile complementary atom switch. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guilherme M. Castilhos, Eduardo Wächter, Guilherme A. Madalozzo, Augusto Erichsen, Thiago Monteiro, Fernando Moraes 0001 A framework for MPSoC generation and distributed applications evaluation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Majid Jalalifar, Gyung-Su Byun An energy-efficient mobile PAM memory interface for future 3D stacked mobile DRAMs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license