The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Song Fang, Quanyan Zhu Channel Leakage and Fundamental Limits of Privacy Leakage for Streaming Data. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Juliane Krämer, Patrick Struck Leakage-Resilient Authenticated Encryption from Leakage-Resilient Pseudorandom Functions. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
18Juliane Krämer, Patrick Struck Leakage-Resilient Authenticated Encryption from Leakage-Resilient Pseudorandom Functions. Search on Bibsonomy COSADE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Marco Romanelli 0002 Machine learning methods for privacy protection : leakage measurement and mechanisms design. (Méthodes d'apprentissage machine pour la protection de la vie privée : mesure de leakage et design des mécanismes). Search on Bibsonomy 2020   RDF
18Chengyu Hu, Rupeng Yang, Pengtao Liu, Tong Li 0011, Fanyu Kong A countermeasure against cryptographic key leakage in cloud: public-key encryption with continuous leakage and tampering resilience. Search on Bibsonomy J. Supercomput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Alexandre Duc, Stefan Dziembowski, Sebastian Faust Unifying Leakage Models: From Probing Attacks to Noisy Leakage. Search on Bibsonomy J. Cryptol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Yuya Nishio, Atsuki Kobayashi, Kiichi Niitsu Design and Calibration of a Small-Footprint, Low-Frequency, and Low-Power Gate Leakage Timer Using Differential Leakage Technique. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Yanwei Zhou, Bo Yang 0003, Yi Mu 0001 Continuous leakage-resilient identity-based encryption with leakage amplification. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Ryo Nishimaki, Takashi Yamakawa Leakage-resilient Identity-based Encryption in Bounded Retrieval Model with Nearly Optimal Leakage-Ratio. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2019 DBLP  BibTeX  RDF
18Min-Ji Seo, Myung-Ho Kim A System for Improving Data Leakage Detection based on Association Relationship between Data Leakage Patterns. Search on Bibsonomy J. Inf. Process. Syst. The full citation details ... 2019 DBLP  BibTeX  RDF
18Ryo Nishimaki, Takashi Yamakawa Leakage-Resilient Identity-Based Encryption in Bounded Retrieval Model with Nearly Optimal Leakage-Ratio. Search on Bibsonomy Public Key Cryptography (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Jui-Di Wu, Yuh-Min Tseng, Sen-Shan Huang Efficient Leakage-Resilient Authenticated Key Agreement Protocol in the Continual Leakage eCK Model. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Mingwu Zhang, Wentao Leng, Yong Ding 0005, Chunming Tang 0003 Tolerating Sensitive-Leakage With Larger Plaintext-Space and Higher Leakage-Rate in Privacy-Aware Internet-of-Things. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Chun Guo 0002, Olivier Pereira, Thomas Peters, François-Xavier Standaert Leakage-Resilient Authenticated Encryption with Misuse in the Leveled Leakage Setting: Definitions, Separation Results, and Constructions. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2018 DBLP  BibTeX  RDF
18Jui-Di Wu, Yuh-Min Tseng, Sen-Shan Huang Leakage-Resilient Certificateless Signature Under Continual Leakage Model. Search on Bibsonomy Inf. Technol. Control. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Antonio Faonio, Jesper Buus Nielsen, Daniele Venturi 0001 Fully leakage-resilient signatures revisited: Graceful degradation, noisy leakage, and construction in the bounded-retrieval model. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Yuya Nishio, Atsuki Kobayashi, Kiichi Niitsu A 28μm2, 0.11Hz, 4.5pW gate leakage timer using differential leakage technique in 55nm DDC CMOS for small-footprint, low-frequency and low-power timing generation. Search on Bibsonomy ICECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Behnam Samadpoor Rikan, Hamed Abbasizadeh, Thi Kim Nga Truong, Sung Jin Kim, Kang-Yoon Lee A low leakage retention LDO and leakage-based BGR with 120nA quiescent current. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Suvradip Chakraborty, Janaka Alawatugoda 0001, C. Pandu Rangan Leakage-Resilient Non-interactive Key Exchange in the Continuous-Memory Leakage Setting. Search on Bibsonomy ProvSec The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Ouwen Shi, Shantanu Dutt Co-Exploration of Unit-Time Leakage Power and Latency Spaces for Leakage Energy Minimization in High-Level Synthesis. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18François Durvaux, François-Xavier Standaert From Improved Leakage Detection to the Detection of Points of Interests in Leakage Traces. Search on Bibsonomy EUROCRYPT (1) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Chengyu Hu, Zuoxia Yu, Rupeng Yang, Qiuliang Xu, Yongbin Zhou, Qixia Yuan Weak leakage resilient extractable hash proof system and construction for weak leakage resilient CCA-secure public-key encryption. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Benjamin Fuller 0001, Ariel Hamlin Unifying Leakage Classes: Simulatable Leakage and Pseudoentropy. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
18François Durvaux, François-Xavier Standaert From Improved Leakage Detection to the Detection of Points of Interests in Leakage Traces. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
18Benjamin Fuller 0001, Ariel Hamlin Unifying Leakage Classes: Simulatable Leakage and Pseudoentropy. Search on Bibsonomy ICITS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Danyang Chen, Yongbin Zhou, Yang Han, Rui Xue, Qing He On hardening leakage resilience of random extractors for instantiations of leakage-resilient cryptographic primitives. Search on Bibsonomy Inf. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Alexandre Duc, Stefan Dziembowski, Sebastian Faust Unifying Leakage Models: from Probing Attacks to Noisy Leakage. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2014 DBLP  BibTeX  RDF
18Alexandre Duc, Stefan Dziembowski, Sebastian Faust Unifying Leakage Models: From Probing Attacks to Noisy Leakage. Search on Bibsonomy EUROCRYPT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18William E. Cobb, Rusty O. Baldwin, Eric D. Laspe Leakage Mapping: A Systematic Methodology for Assessing the Side-Channel Information Leakage of Cryptographic Implementations. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jae Hoon Kim, Young Hwan Kim Efficient statistical leakage analysis using deterministic cell leakage models. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Duong Hieu Phan, Viet Cuong Trinh Key-Leakage Resilient Revoke Scheme Resisting Pirates 2.0 in Bounded Leakage Model. Search on Bibsonomy AFRICACRYPT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Joep A. de Groot, Boris Skoric, Niels de Vreede, Jean-Paul M. G. Linnartz Information Leakage of Continuous-Source Zero Secrecy Leakage Helper Data Schemes. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
18Danyang Chen, Yongbin Zhou, Yang Han, Rui Xue, Qing He On Hardening Leakage Resilience of Random Extractors for Instantiations of Leakage Resilient Cryptographic Primitives. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
18Jae Hoon Kim, Young Hwan Kim Statistical leakage analysis using the deterministic modeling of cell leakage current. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Zhe Zhang, Michael A. Turi, José G. Delgado-Frias SRAM leakage in CMOS, FinFET and CNTFET technologies: leakage in 8t and 6t sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Amin Yazdanpanah Goharrizi, Nariman Sepehri A Wavelet-Based Approach for External Leakage Detection and Isolation From Internal Leakage in Valve-Controlled Hydraulic Actuators. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Maziar Goudarzi, Tohru Ishihara, Hamid Noori Software-Level Instruction-Cache Leakage Reduction Using Value-Dependence of SRAM Leakage in Nanometer Technologies. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Weiqiang Zhang, Li Su, Yu Zhang, Linfeng Li, Jianping Hu Low-Leakage Flip-Flops Based on Dual-Threshold and Multiple Leakage Reduction Techniques. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yu Chen 0003, Song Luo, Zhong Chen 0001 A New Leakage-Resilient IBE Scheme in the Relative Leakage Model. (PDF / PS) Search on Bibsonomy DBSec The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Rajamani Sethuram, Karim Arabi, Mohamed H. Abu-Rahma Leakage power profiling and leakage power reduction using DFT hardware. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Wook Kim, Kyung Tae Do, Young Hwan Kim Statistical Leakage Estimation Based on Sequential Addition of Cell Leakage Currents. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Sedat Soydan Analyzing the DPA Leakage of the Masked S-box via Digital Simulation and Reducing the Leakage by Inserting Delay Cells. Search on Bibsonomy SECURWARE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Kawori Takakubo, Toru Eto, Hajime Takakubo Analysis and Modeling of Leakage Current for Four-Terminal MOSFET in Off-State and Low Leakage Switches. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Alexandre Valentian, Edith Beigné Automatic Gate Biasing of an SCCMOS Power Switch Achieving Maximum Leakage Reduction and Lowering Leakage Current Variability. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yih Wang, Hong Jo Ahn, Uddalak Bhattacharya, Zhanping Chen, Tom Coan, Fatih Hamzaoglu, Walid M. Hafez, Chia-Hong Jan, Pramod Kolar, Sarvesh H. Kulkarni, Jie-Feng Lin, Yong-Gee Ng, Ian Post, Liqiong Wei, Ying Zhang, Kevin Zhang 0001, Mark Bohr A 1.1 GHz 12 µA/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS Technology With Integrated Leakage Reduction for Mobile Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Chi-Ying Tsui, Robert Yi-Ching Au, Ricky Yiu-kee Choi Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Masako Fujii, Hiroaki Suzuki, Hiromi Notani, Hiroshi Makino, Hirofumi Shinohara On-chip leakage monitor circuit to scan optimal reverse bias voltage for adaptive body-bias circuit under gate induced drain leakage effect. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yih Wang, Hong Jo Ahn, Uddalak Bhattacharya, Tom Coan, Fatih Hamzaoglu, Walid M. Hafez, Chia-Hong Jan, Pramod Kolar, Sarvesh H. Kulkarni, Jie-Feng Lin, Yong-Gee Ng, Ian Post, Liqiong Wei, Yih Zhang, Kevin Zhang 0001, Mark Bohr A 1.1GHz 12μA/Mb-Leakage SRAM Design in 65nm Ultra-Low-Power CMOS with Integrated Leakage Reduction for Mobile Applications. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Masanao Yamaoka, Yoshihiro Shinozaki, Noriaki Maeda, Yasuhisa Shimazaki, Kei Kato, Shigeru Shimada, Kazumasa Yanagisawa, Kenichi Osada A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Emrah Acar, Anirudh Devgan, Sani R. Nassif Leakage and Leakage Sensitivity Computation for Combinational Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jin-Hyeok Choi, Yingxue Xu, Takayasu Sakurai Statistical leakage current reduction in high-leakage environments using locality of block activation in time domain. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hafijur Rahman, Chaitali Chakrabarti A leakage estimation and reduction technique for scaled CMOS logic circuits considering gate-leakage. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
18Amit Agarwal 0001, Kaushik Roy 0001, Ram K. Krishnamurthy A leakage-tolerant low-leakage register file with conditional sleep transistor. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kauschick Roy, Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Search on Bibsonomy Proc. IEEE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Howard Falk Prolog to: Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Search on Bibsonomy Proc. IEEE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jin-Hyeok Choi, Takayasu Sakurai Statistical leakage current reduction by self-timed cut-off scheme for high leakage environments. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Adam C. Cabe, Zhenyu Qi, Mircea R. Stan Stacking SRAM banks for ultra low power standby mode operation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stacked SRAM, low-power memory
18Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Shuo Wang, Jianwei Dai, El-Sayed A. M. Hasaneen, Lei Wang 0003, Faquir C. Jain Utilizing quantum dot transistors with programmable threshold voltages for low-power mobile computing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage and quantum dot transistor, Low power
18Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
18Louis Salvail, Christian Schaffner, Miroslava Sotáková On the Power of Two-Party Quantum Cryptography. Search on Bibsonomy ASIACRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF two-party primitives, quantum protocols, oblivious transfer, quantum information theory
18Koichi Hamamoto, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Tuning-friendly body bias clustering for compensating random variability in subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF body bias clustering, performance compensation, layout, manufacturing variability, subthreshold circuits
18Colin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones A low-power CMOS thyristor based delay element with programmability extensions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay element, thyristor, low power
18Javid Jaffari, Mohab Anis Variability-Aware Bulk-MOS Device Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hiroki Matsutani, Michihiro Koibuchi, Daihan Wang, Hideharu Amano Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Network-on-Chip, virtual channels, NoC, DVFS, power gating
18XiaoChuan He, Yan Jia 0001 Procrastination Scheduling for Fixed-Priority Tasks with Preemption Thresholds. Search on Bibsonomy NPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Mudhakar Srivatsa, Shane Balfe, Kenneth G. Paterson, Pankaj Rohatgi Trust management for secure information flows. Search on Bibsonomy CCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ID-PKC, trust, information flow, risk
18Lawrence Leinweber, Swarup Bhunia Fine-Grained Supply Gating Through Hypergraph Partitioning and Shannon Decomposition for Active Power Reduction. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Rajesh Garg, Gagandeep Mallarapu, Sunil P. Khatri A Single-supply True Voltage Level Shifter. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Aswin Sreedhar, Alodeep Sanyal, Sandip Kundu On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Sudip Roy 0001, Ajit Pal Why to Use Dual-Vt, If Single-Vt Serves the Purpose Better under Process Parameter Variations? Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Shinnosuke Yagi, Yuji Waizumi, Hiroshi Tsunoda, Abbas Jamalipour, Nei Kato, Yoshiaki Nemoto Network Application Identification Using Transition Pattern of Payload Length. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Po-Tsang Huang, Shu-Wei Chang, Wen-Yen Liu, Wei Hwang "Green" micro-architecture and circuit co-design for ternary content addressable memory. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Sherif A. Tawfik, Volkan Kursun Work-function engineering for reduced power and higher integration density: An alternative to sizing for stability in FinFET memory circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Rehman Ashraf, Malgorzata Chrzanowska-Jeske, Siva G. Narendra Carbon nanotube circuit design choices in the presence of metallic tubes. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18SeongHan Shin, Kazukuni Kobara, Hideki Imai A security framework for personal networks. Search on Bibsonomy COMSWARE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy 0001, Muhammad Ashraful Alam Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Radu Teodorescu, Jun Nakano, Abhishek Tiwari 0002, Josep Torrellas Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Santosh Talli, Ram Srinivasan, Jeanine E. Cook Compiler-Directed Functional Unit Shutdown for Microarchitecture Power Optimization. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 The effect of process variation on device temperature in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Amir Moradi 0001, Mahmoud Salmasizadeh, Mohammad T. Manzuri Shalmani Power Analysis Attacks on MDPL and DRSL Implementations. Search on Bibsonomy ICISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRSL, MDPL, Side-Channel Attacks, DPA, flip-flop
18Jungseob Lee, Azadeh Davoodi Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Guochen Hua, Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Xue Real-Time Loop Scheduling with Energy Optimization Via DVS and ABB for Multi-core Embedded System. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hailin Jiang, Malgorzata Marek-Sadowska Power-Gating Aware Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Deblina Sarkar, Samiran Ganguly, Deepanjan Datta, A. Ananda Prasad Sarab, Sudeb Dasgupta Modeling of Leakages in Nano-Scale DG MOSFET to Implement Low Power SRAM: A Device/Circuit Co-Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hamed F. Dadgour, Kaustav Banerjee Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ritu Singhal, Asha Balijepalli, Anupama R. Subramaniam, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Madhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Compiler-directed thermal management for VLIW functional units. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLIW, thermal, IPC
18Yu-Hui Huang, Po-Yuan Chen, TingTing Hwang Switching-activity driven gate sizing and Vth assignment for low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Azadeh Davoodi, Ankur Srivastava 0001 Probabilistic evaluation of solutions in variability-driven optimization. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF probabilistic optimization
18Da-Wei Wang 0004, Churn-Jung Liau, Yi-Ting Chiang, Tsan-sheng Hsu Information Theoretical Analysis of Two-Party Secret Computation. (PDF / PS) Search on Bibsonomy DBSec The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Privacy Analysis, Scalar Product, Private Computation
18Hui Wu 0001, Sridevan Parameswaran Minimising the Energy Consumption of Real-Time Tasks with Precedence Constraints on a Single Processor. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kanak Agarwal, Kevin J. Nowka, Harmander Deogun, Dennis Sylvester Power Gating with Multiple Sleep Modes. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18K. Narasimhulu, V. Ramgopal Rao Embedded Tutorial: Analog Circuit Performance Issues with Aggressively Scaled Gate Oxide CMOS Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Y. Abulafia, Avner Kornfeld Estimation of FMAX and ISB in microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Anirudh Devgan Modeling and Analysis of Parametric Yield under Power and Performance Constraints. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G.4.g Reliability and robustness, B.7 Integrated Circuits, Fault-Tolerance
18Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license