The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multicore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (26) 2006 (74) 2007 (173) 2008 (321) 2009 (464) 2010 (484) 2011 (433) 2012 (456) 2013 (365) 2014 (424) 2015 (383) 2016 (353) 2017 (341) 2018 (315) 2019 (282) 2020 (155) 2021 (196) 2022 (221) 2023 (217) 2024 (32)
Publication types (Num. hits)
article(1725) book(14) data(1) incollection(63) inproceedings(3695) phdthesis(179) proceedings(38)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1848 occurrences of 823 keywords

Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Muhammad Waqar Azhar, Madhavan Manivannan, Per Stenström Approx-RM: Reducing Energy on Heterogeneous Multicore Processors under Accuracy and Timing Constraints. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Abdul Rasheed Sahni, Hamza Omar, Usman Ali, Omer Khan ASM: An Adaptive Secure Multicore for Co-located Mutually Distrusting Processes. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Yongneng Jiang, Jiajing Tu, Shecheng Gao, Weiping Liu, Zhaohui Li Randomly coupled trench-assisted multicore fibers with different arrangements for high tolerance of manufacturing errors. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Basharat Mahmood, Naveed Ahmad 0001 An optimal semi-partitioned algorithm for scheduling real-time applications on uniform multicore processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Saehanseul Yi, Tae-Wook Kim, Jong-Chan Kim, Nikil D. Dutt EASYR: Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines in Autonomous Driving on Multicore Processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Anup Saha, Miguel Chavarrías, Víctor Aranda, Matías J. Garrido, Fernando Pescador Implementation of a Real-Time Versatile Video Coding Decoder Based on VVdeC Over an Embedded Multicore Platform. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Dimitri A. Lezcano, Yernar Zhetpissov, Alexandra Cheng, Jin Seob Kim, Iulian Iordachita Optical Fiber-Based Needle Shape Sensing in Real Tissue: Single Core vs. Multicore Approaches. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jiawei Sun, Bin Yang, Nektarios Koukourakis, Jochen Guck, Jürgen W. Czarske AI-driven projection tomography with multicore fibre-optic cell rotation. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Xianzhi Zeng, Shuhao Zhang 0001 CStream: Parallel Data Stream Compression on Multicore Edge Devices. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Naama Ben-David, Gal Sela 0001, Adriana Szekeres The FIDS Theorems: Tensions between Multinode and Multicore Performance in Transactional Systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Michael Garrett Bechtel, Heechul Yun Analysis and Mitigation of Shared Resource Contention on Heterogeneous Multicore: An Industrial Case Study. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mike Rainey The best multicore-parallelization refactoring you've never heard of. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Melven Röhrig-Zöllner, Manuel Joey Becklas, Jonas Thies, Achim Basermann Performance of linear solvers in tensor-train format on current multicore architectures. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Rafael C. F. Sousa, Márcio Machado Pereira, Yongin Kwon, Taeho Kim, Namsoon Jung, Chang Soo Kim, Michael Frank 0008, Guido Araujo Tensor Slicing and Optimization for Multicore NPUs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Héctor Martínez, Sandra Catalán, Francisco D. Igual, José R. Herrero 0001, Rafael Rodríguez-Sánchez 0001, Enrique S. Quintana-Ortí Co-Design of the Dense Linear AlgebravSoftware Stack for Multicore Processors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Hubin Yang, Shuaixin Xu, Yucong Chen, Gang Liu, Rui Zhou 0005, Qingguo Zhou, Kuan-Ching Li A shared libraries aware and bank partitioning-based mechanism for multicore architecture. Search on Bibsonomy Soft Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Selcuk Gulcan, Muhammet Mustafa Ozdal, Cevdet Aykanat Load balanced locality-aware parallel SGD on multicore architectures for latent factor based collaborative filtering. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Ruben S. Luis, Benjamin J. Puttnam, Georg Rademacher, Satoshi Shinada, Tetsuya Hayashi, Tetsuya Nakanishi, Yuki Saito, Tetsu Morishima, Hideaki Furukawa Multicore fiber interconnects for multi-terabit spine-leaf datacenter network topologies. Search on Bibsonomy J. Opt. Commun. Netw. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Hao Jiang, Jikai Lu, Chenggao Zhang, Shuangzhu Tang, Junjie An, Lingli Cheng, Jian Lu, Jinsong Wei, Keji Zhou, Xumeng Zhang, Tuo Shi, Qi Liu 0010 Multicore Spiking Neuromorphic Chip in 180-nm With ReRAM Synapses and Digital Neurons. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Imane Haur, Jean-Luc Béchennec, Olivier H. Roux Formal verification process of the compliance of a multicore AUTOSAR OS. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Menglei Li, Chaomo Zhang Reservoir Lithology Identification Based on Multicore Ensemble Learning and Multiclassification Algorithm Based on Noise Detection Function. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Baonan Xiao, Jianfeng Yang, Xianxian Qi Imitation Learning-Based Performance-Power Trade-Off Uncore Frequency Scaling Policy for Multicore System. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Yucheng Yao, Zhiyong Zhao, Ming Tang 0005 Advances in Multicore Fiber Interferometric Sensors. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Florian Maurer 0003, Moritz Thoma, Anmol Prakash Surhonne, Bryan Donyanavard, Andreas Herkersdorf Machine learning in run-time control of multicore processor systems. Search on Bibsonomy it Inf. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Juan Pinto-Ríos, Felipe Calderón, Ariel Leiva, Gabriel Hermosilla, Alejandra Beghelli, Danilo Bórquez-Paredes, Astrid Lozada, Nicolás A. Jara, Ricardo Olivares, Gabriel Saavedra Resource Allocation in Multicore Elastic Optical Networks: A Deep Reinforcement Learning Approach. Search on Bibsonomy Complex. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mervat Hashem, Kenli Li 0001, Ahmad Salah PI-sqrt: novel parallel implementations of in-place sequence rotation on multicore systems. Search on Bibsonomy Clust. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Javid Ali, Tahir Maqsood, Naima Khalid, Sajjad Ahmad Madani Communication and aging aware application mapping for multicore based edge computing servers. Search on Bibsonomy Clust. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Ankit Agrawal 0006, Sarsij Tripathi, Manu Vardhan Multicore based least confidence query sampling strategy to speed up active learning approach for named entity recognition. Search on Bibsonomy Computing The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Thomas Rauber, Gudula Rünger Parallel Programming - for Multicore and Cluster Systems, Third Edition Search on Bibsonomy 2023   DOI  RDF
10Jordi Perelló, Joan M. Gené, Junho Cho, Salvatore Spadaro Evaluating the Impact of the Guard Band Width on the Benefits of Probabilistic Constellation Shaping in Future Flex-Grid over Multicore Fibre Optical Backbone Networks. Search on Bibsonomy ICTON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Alessandro Gagliano, Alberto Gatto 0001, Paolo Martelli, Pierpaolo Boffi, Tetsuya Hayashi, Antonio Mecozzi, Cristian Antonelli, Paola Parolari Integration of the QKD Layer in Fibre Networks Using Multicore Fibres. Search on Bibsonomy ICTON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Nelson J. Muga, Tiago M. F. Alves, Romil K. Patel, Isiaka A. Alimi, Armando N. Pinto, Adolfo V. T. Cartaxo Self-Coherent Detection in Multicore Fiber Systems Impaired by Intercore Crosstalk. Search on Bibsonomy ICTON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10João L. Rebola, Adolfo V. T. Cartaxo Effect of Core-Dependent Loss on the Intercore Crosstalk in Multicore Fiber Systems With Concatenated Random Loss Fiber Segments. Search on Bibsonomy ICTON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Genki Kimura, Yuto Hayamizu, Rage Uday Kiran, Masaru Kitsuregawa, Kazuo Goda Efficient Parallel Mining of High-utility Itemsets on Multicore Processors. Search on Bibsonomy ICDE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Genki Kimura, Yuto Hayamizu, Rage Uday Kiran, Masaru Kitsuregawa, Kazuo Goda Efficient Parallel Mining of High-utility Itemsets on Multicore Processors. Search on Bibsonomy ICDE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Hao Zhang, Xianzhi Zeng, Shuhao Zhang 0001, Xinyi Liu, Mian Lu, Zhao Zheng Scalable Online Interval Join on Modern Multicore Processors in OpenMLDB. Search on Bibsonomy ICDE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Indranee Kashyap, Dipika Deb, Nityananda Sarma Grep: Performance Enhancement in MultiCore Processors using an Adaptive Graph Prefetcher. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Geancarlo Abich, Anderson Ignacio da Silva, José Eduardo Thums, Rafael da Silva, Altamiro Amadeu Susin, Ricardo Reis 0001, Luciano Ost Power, Performance and Reliability Evaluation of Multi-thread Machine Learning Inference Models Executing in Multicore Edge Devices. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Navid Ordouie, Ramesh K. Karne, Alexander L. Wijesinha, Nirmala Soundararajan A Simple UDP-Based Web Server on a Bare PC with 64-bit Multicore Processors: Design and Implementation. Search on Bibsonomy ICNC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Roger Pujol, Mohamed Hassan 0002, Hamid Tabani, Jaume Abella 0001, Francisco Javier Cazorla-Almeida Tracking Coherence-Related Contention Delays in Real-Time Multicore Systems. Search on Bibsonomy SAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Thomas Rauber, Gudula Rünger Performance and Energy Evaluation for Solving a Schrödinger-Poisson System on Multicore Processors. Search on Bibsonomy EPEW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Beata Bylina, Monika Piekarz The scalability in terms of the time and the energy for several matrix factorizations on a multicore machine. Search on Bibsonomy FedCSIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Beata Bylina, Jaroslaw Bylina, Monika Piekarz Impact of processor frequency scaling on performance and energy consumption for WZ factorization on multicore architecture. Search on Bibsonomy FedCSIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Zhuanhao Wu, Marat Bekmyrza, Nachiket Kapre, Hiren D. Patel Ditty: Directory-based Cache Coherence for Multicore Safety-critical Systems. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Dimitris Agiakatsikas, George Papadimitriou 0001, Vasileios Karakostas, Dimitris Gizopoulos, Mihalis Psarakis, Camille Bélanger-Champagne, Ewart Blackmore Impact of Voltage Scaling on Soft Errors Susceptibility of Multicore Server CPUs. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023 Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Hanwoong Jung, Hexiang Ji, Alexey Pushchin, Maxim Ostapenko, Wenlong Niu, Ilya Palachev, Yutian Qu, Pavel Fedin, Yuri Gribov, Heewoo Nam, Dongguen Lim, Hyunjun Kim, Joonho Song, Seungwon Lee, Hwansoo Han Accelerating Deep Neural Networks on Mobile Multicore NPUs. Search on Bibsonomy CGO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Shervin Hajiamini, Jeffrey D. Blanchard, David D. H. Lin, Behrooz A. Shirazi A Case Study for Exploring the Impact of Optimized K - Means Algorithm on the Energy Efficiency of Multicore Systems. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Naama Ben-David, Gal Sela 0001, Adriana Szekeres The FIDS Theorems: Tensions Between Multinode and Multicore Performance in Transactional Systems. Search on Bibsonomy DISC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Tun Chen, Haipeng Jia, Yunquan Zhang, Kun Li, Zhihao Li, Xiang Zhao, Jianyu Yao, Chendi Li OpenFFT: An Adaptive Tuning Framework for 3D FFT on ARM Multicore CPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Eric Seals, Michael Garrett Bechtel, Heechul Yun BandWatch: A System-Wide Memory Bandwidth Regulation System for Heterogeneous Multicore. Search on Bibsonomy RTCSA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Abel Beyene, Zhongpan Wu, Karim Hammad, Ebrahim Ghafar-Zadeh, Sebastian Magierowski RISC-V Multicore for Miniature DNA Sequencers. Search on Bibsonomy BioCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Kyosuke Nakada, Yusuke Matsuno, Yudai Uchida, Masanori Takahashi, Rika Tahara, Takuma Izumi, Ryuichi Sugizaki, Masahiko Jinno Characteristics and System Impact of Multipath Interference in Optical Devices with Short Multicore Fibers for Spatial Channel Networks. Search on Bibsonomy PSC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mahmoud Osama, Sherif Hammad, Nancy E. ElHady, M. Watheq El-Kharashi A Multicore Implementation of an AUTOSAR-based XCP Module. Search on Bibsonomy MECO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Xianda Chen, Guohong Cao Energy-Efficient 360-Degree Video Streaming on Multicore-Based Mobile Devices. Search on Bibsonomy INFOCOM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Asier Fernández de Lecea, Mohamed Hassan 0002, Enrico Mezzetti, Jaume Abella 0001, Francisco J. Cazorla Improving Timing-Related Guarantees for Main Memory in Multicore Critical Embedded Systems. Search on Bibsonomy RTSS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Xiangzhen Xiao, Weijie Wang, Wenhong Ma, Wanli Chang 0001 Brief Industry Paper: Retention-Based Energy-Efficient Scheduling of Arbitrary-Deadline DAG Tasks on Multicore Platforms. Search on Bibsonomy RTSS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Alexandra Cheng, Dimitri A. Lezcano, Jin Seob Kim, Iulian Iordachita Optical Fiber -Based Needle Shape Sensing: Three-channel Single Core vs. Multicore Approaches*. Search on Bibsonomy ISMR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Stephen Felix, Shannon Morton, Simon Stacey, John Walsh Wafer-Level Stacking of High-Density Capacitors to Enhance the Performance of a Large Multicore Processor for Machine Learning Applications. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sudipta Mondal, Ramprasath S 0001, Ziqing Zeng, Kishor Kunal, Sachin S. Sapatnekar A Multicore GNN Training Accelerator. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jina Park, Kyuseung Han, Eunjin Choi, Sukho Lee, Jae-Jin Lee, Woojoo Lee, Massoud Pedram Florian: Developing a Low-Power RISC-V Multicore Processor with a Shared Lightweight FPU. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Claudiu Buduleci, Arpad Gellert, Adrian Florea Selective High-Latency Arithmetic Instruction Reuse in Multicore Processors. Search on Bibsonomy ICSTCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Michael Garrett Bechtel, Heechul Yun Cache Bank-Aware Denial-of-Service Attacks on Multicore ARM Processors. Search on Bibsonomy RTAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Roozbeh Siyadatzadeh, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique 0001, Jörg Henkel, Alireza Ejlali ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems. Search on Bibsonomy RTAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Takafumi Ohtsuka, Takahiro Kikuchi, Takahiro Suganuma, Takemi Hasegawa, Hidehisa Tazawa Power Efficient Core Pumped Multicore Erbium Doped Optical Fiber Amplifier. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Tristan Kremp, Yue Liang, Alan McCurdy Polarity and Twist Rate Detection for Accurate and Reliable Low Loss Multicore Fiber Fusion Splicing. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Lipeng Feng, Anxu Zhang, Hao Guo, Dongxiang Wang, Chuyu Peng, Yuyang Liu, Kai Lv, Hao Liu, Xiaoli Huo, Junjie Li Real-Time 179.2Tb/s Transmission using Commercial 400Gb/s Transceivers over 350 km Multicore Fiber. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Daniel J. Elson, Yuta Wakayama, Noboru Yoshikane, Takehiro Tsuritani Performance Requirements for FIFO-less Multicore Fibre Repeaters in Transatlantic-class Transmission. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mario Ureña, Sergi García, Ivana Gasulla Ultra-Wideband Pulse Generation Based on Dispersion-Diversity Multicore Fiber. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Ruben S. Luis, Giammarco Di Sciullo, Georg Rademacher, Benjamin J. Puttnam, Andrea Marotta, Robert Emmerich, Nicolas Braig-Christophersen, Ralf Stolte, Fabio Graziosi, Antonio Mecozzi, Colja Schubert, Tetsuya Hayashi, Takuji Nagashima, Cristian Antonelli, Hideaki Furukawa Colorless and Directionless ROADM for Meshed Coupled-Core Multicore Fiber Networks. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Toshiyuki Fujii, Masanori Takahashi, Ryuichi Sugizaki, Akio Tanabe, Yoshihiro Arashitani Low Fusion Splice Loss Technique for Multicore Fiber with 2- and 3-electrode Fusion Splicers. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Nicoletta Haarlammert, Johannes Nold, Stefan Kuhn, Christian Hupel, Sigrun Hein, Arno Klenke, Cesar Jauregui, Jens Limpert, Thomas Schreiber, Andreas Tünnermann Fabrication of Multicore Fibers for High Power Lasers, Sensing and Communications. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Takuya Oda, Shota Kajikawa, Katsuhiro Takenaga, Okimi Mukai, Daiki Takeda, Nikhil Angra, Usman Nasir, Jongchul Park, Jing Zhang, Victor Kopp, Daniel Neugroschl, Kentaro Ichii Loss performance of field-deployed high-density 1152-channel link constructed with 4-core multicore fiber cable. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sterenn Guerrier, Antonio Mecozzi, Christian Dorize, Cristian Antonelli, Lauren Dallachiesa, Haïk Mardoyan, Elie Awwad, Daniele Orsuti, Luca Palmieri 0001, Mikael Mazur, Tetsuya Hayashi, Roland Ryf, Jérémie Renaudier Field Trial of High-Resolution Distributed Fiber Sensing over Multicore Fiber in Metropolitan Area with Construction Work Detection using Advanced MIMO-DAS. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Lin Sun, Bin Chen, Gordon Ning Liu, Yi Cai, Zhaohui Li, Chao Lu 0001, Gangxiang Shen On the Impact of Spatial Mode Dispersion for Strongly-Coupled Multicore Fiber Submarine Transmission. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Nima Fathollahi, Sean Chester Lock-free Vertex Clustering for Multicore Mesh Reduction. Search on Bibsonomy SIGGRAPH Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Rika Tahara, Yudai Uchida, Kyosuke Nakada, Itsuki Urashima, Masahiko Jinno Polarity and Horizontal-Flipping Management in Multicore-Fiber-Based Optical Devices, Nodes, and Networks. Search on Bibsonomy ONDM The full citation details ... 2023 DBLP  BibTeX  RDF
10Erling Rennemo Jellum, Shaokai Lin, Peter Donovan, Chadlia Jerad, Edward Wang, Marten Lohstroh, Edward A. Lee, Martin Schoeberl InterPRET: a Time-predictable Multicore Processor. Search on Bibsonomy CPS-IoT Week Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Helin Cheng, Wenxuan Li, Yuechen Lu, Weifeng Liu 0002 HASpGEMM: Heterogeneity-Aware Sparse General Matrix-Matrix Multiplication on Modern Asymmetric Multicore Processors. Search on Bibsonomy ICPP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10James D. Trotter, Sinan Ekmekçibasi, Johannes Langguth, Tugba Torun, Emre Düzakin, Aleksandar Ilic, Didem Unat Bringing Order to Sparsity: A Sparse Matrix Reordering Study on Multicore CPUs. Search on Bibsonomy SC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10João Bispo, Henri-Pierre Charles, Stefano Cherubin, Giuseppe Massari (eds.) 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France. Search on Bibsonomy PARMA-DITAM The full citation details ... 2023 DBLP  BibTeX  RDF
10Diogo Costa, Luca Cuomo, Daniel Oliveira 0003, Ida Maria Savino, Bruno Morelli, José Martins, Fabrizio Tronci, Alessandro Biasci, Sandro Pinto 0001 IRQ Coloring: Mitigating Interrupt-Generated Interference on ARM Multicore Platforms. Search on Bibsonomy NG-RES@HiPEAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jay Hwan Lee, Yeonsoo Kim, Younghyun Ryu, Wasuwee Sodsong, Hyunjun Jeon, Jinsik Park, Bernd Burgstaller, Bernhard Scholz Julia Cloud Matrix Machine: Dynamic Matrix Language Acceleration on Multicore Clusters in the Cloud. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Benoît Dupont de Dinechin, Julien Hascoët, Orégane Desrentes In-Place Multicore SIMD Fast Fourier Transforms. Search on Bibsonomy HPEC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Guillermo G. Trabes, Veronica Gil-Costa, Gabriel A. Wainer Energy and Power Evaluation of Parallel Devs Simulations on Multicore Architectures. Search on Bibsonomy ANNSIM The full citation details ... 2023 DBLP  BibTeX  RDF
10Wenxuan Li, Helin Cheng, Zhengyang Lu, Yuechen Lu, Weifeng Liu 0002 HASpMV: Heterogeneity-Aware Sparse Matrix-Vector Multiplication on Modern Asymmetric Multicore Processors. Search on Bibsonomy CLUSTER The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Md Farhadur Reza, Zachary McCloud Heuristics-Enabled High-Performance Application Mapping in Network-on-Chip based Multicore Systems. Search on Bibsonomy COINS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sebastian Litzinger Raising Energy Efficiency and Fault Tolerance with Parallel Streaming Application Scheduling on Multicore Systems. Search on Bibsonomy 2023   RDF
10Rieul Ducousso Sécurisation des accès aux périphériques et depuis les périphériques dans une architecture multicœur RISC-V utilisée pour la virtualisation. (Securing access to and from devices in a RISC-V multicore architecture used for virtualization). Search on Bibsonomy 2023   RDF
10Vu Trung Duong Le, Hoai Luan Pham, Thi Hong Tran, Thi Sang Duong, Yasuhiko Nakashima High-efficiency Reconfigurable Crypto Accelerator Utilizing Innovative Resource Sharing and Parallel Processing. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Yasuyuki Suzuki, Shogo Semba, Yoichi Tomioka, Hiroshi Saito Autonomous Driving Robot Using FPGA and BNN with Random Forest. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Endri Kaja, Nicolas Gerlin, Robert Kunzelmann, Keerthikumara Devarajegowda, Wolfgang Ecker Modelling Peripheral Designs using FSM-like Notation for Complete Property Set Generation. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Ryota Kayanoma, Akira Jinguji, Hiroki Nakahara A Many-core Architecture for an Ensemble Ternary Neural Network Toward High-Throughput Inference. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Shunsuke Koeda, Yoichi Tomioka, Hiroshi Saito Fault-Tolerant Ensemble CNNs Increasing Diversity Based on Knowledge Distillation. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Nidhi Anantharajaiah, Fabian Lesniak, Tanja Harbaum, Jürgen Becker 0001 Reinforcement Learning Enabled Multi-Layered NoC for Mixed Criticality Systems. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Anmol Surhonne, Florian Maurer 0003, Thomas Wild, Andreas Herkersdorf LCT-TL : Learning Classifier Table (LCT) with Transfer Learning for runtime SoC performance-power optimization. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Michelangelo Barocci, Vittorio Fra, Enrico Macii, Gianvito Urgese Review of open neuromorphic architectures and a first integration in the RISC-V PULP platform. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Huan-Ke Hsu, I-Chyn Wey, Tee Hui Teo A Energy-Efficient Re-configurable Multi-mode Convolution Neuron Network Accelerator. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Jaehoon Yu, Masato Motomura A Highly Accurate and Parallel Vision MLP FPGA Accelerator based on FP7/8 SIMD Operations. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Syam Sankar, John Jose, Ruchika Gupta, Sukumar Nandi Enhancing Anonymity in NoC Communication to Counter Traffic Profiling by Hardware Trojans. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 5715 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license