The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Francesc Moll, Joan Figueras, Antonio Rubio 0001 Data Dependence of Delay Distribution for a Planar Bus. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Andreas Apostolakis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Functional Self-Testing for Bus-Based Symmetric Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Hong Hee Lee, Gwan Su Kim, Jung Hoon Lee, Byung Jin Kim Real-Time Communications on IEC 61850 Process Bus Based Distributed Sampled Measured Values Applications in Merging Unit. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SV, Merging Unit, IRIG-B, Time Synchronization, IEC 61850
20Youngjin Cho, Younghyun Kim 0001, Sangyoung Park, Naehyuck Chang System-level power estimation using an on-chip bus performance monitoring unit. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Chenglie Du, Gang Li A Software Dual-Bus Architecture Suitable for Distributed Real-Time Embedded System. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Lin Chen, Wanzhong Sun, Zhixin Wang, Chao Zhou A SystemC-Based Transaction Level Modeling of On-Chip-Bus. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Shimin Li, Ladan Tahvildari E-BUS: a toolkit for extracting business services from java software systems. Search on Bibsonomy ICSE Companion The full citation details ... 2008 DBLP  DOI  BibTeX  RDF business services
20Hariharan Sankaran, Srinivas Katkoori Bus Binding, Re-ordering, and Encoding for Crosstalk-Producing Switching Activity Minimization during High Level Synthesis. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulated Annealing, HLS, Encoding, Crosstalk, Binding, Reordering
20Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Performance evaluation, VLIW, ASIP, Clustered VLIW processors
20Dimka Karastoyanova, Branimir Wetzstein, Tammo van Lessen, Daniel Wutke, Jörg Nitzsche, Frank Leymann Semantic Service Bus: Architecture and Implementation of a Next Generation Middleware. Search on Bibsonomy ICDE Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Andrew M. Scott, Mark E. Schuelein, Marly Roncken, Jin-Jer Hwan, John Bainbridge, John R. Mawer, David L. Jackson, Andrew Bardsley Asynchronous on-Chip Communication: Explorations on the Intel PXA27x Processor Peripheral Bus. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Mingxian Jin, Johnnie W. Baker On the Power of the Multiple Associative Computing (MASC) Model Related to That of Reconfigurable Bus-Based Models. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Tyler Pace, Shruti Ramalingam, David Roedl Celerometer and idling reminder: persuasive technology for school bus eco-driving. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF automobile cockpit design, automobile interfaces, designing for eco-driving, persuasive technology, captology, mission-critical systems
20Carl Collins, Amy Grude, Matthew Scholl, Robert Thompson 0003 txt bus: wait time information on demand. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF user interface design, motivation, SMS, public transportation
20Tan Yan, Martin D. F. Wong Untangling twisted nets for bus routing. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Zonghua Gu 0001, Xiuqiang He 0001, Mingxuan Yuan Optimization of Static Task and Bus Access Schedules for Time-Triggered Distributed Embedded Systems with Model-Checking. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Andy Gean Ye, Jonathan Rose Using Bus-Based Connections to Improve Field-Programmable Gate-Array Density for Implementing Datapath Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Muhammet Mustafa Ozdal, Martin D. F. Wong Algorithmic study of single-layer bus routing for high-speed boards. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Lijun Gao, Keshab K. Parhi Models for Architectural Power and Power Grid Noise Analysis on Data Bus. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF augmented DBT model, SCTA model, STCTA model, power consumption, switching activity, power spectrum, transition probability, power grid noise, transition activity
20Gunar Schirner, Rainer Dömer Quantitative analysis of transaction level models for the AMBA bus. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Feng Wang 0004, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin On-chip bus thermal analysis and optimization. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Rikard Thid, Ingo Sander, Axel Jantsch Flexible Bus and NoC Performance Analysis with Configurable Synthetic Workloads. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chien-Hua Chen, Geeng-Wei Lee, Juinn-Dar Huang, Jing-Yang Jou A real-time and bandwidth guaranteed arbitration algorithm for SoC bus communication. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ergün Eraslan, Diyar Akay, Mustafa Kurt Usability Ranking of Intercity Bus Passenger Seats Using Fuzzy Axiomatic Design Theory. Search on Bibsonomy CDVE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fuzzy Axiomatic Design, Usability, Conformance Testing, Cooperative Engineering
20Ken Ueno, Michiaki Tatsubori Early Capacity Testing of an Enterprise Service Bus. Search on Bibsonomy ICWS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Abdul Samad Shibghatullah, Tillal Eldabi, Jasna Kuljis A proposed multiagent model for bus crew scheduling. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Donghai Li, Guang-Sheng Ma, Gang Feng Optimized Design of Interconnected Bus on Chip for Low Power. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hsun-Chieh Yu, Rung-Bin Lin Is more redundancy better for on-chip bus encoding. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hadi Parandeh-Afshar, Ali Afzali-Kusha, Ali Khaki-Firooz A very high performance address BUS encoder. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Min Chen 0024, Yu Cao 0001 Analysis of Pulse Signaling for Low-Power On-Chip Global Bus Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ahmed Elkammar, Norman Scheinberg, Srinivasa Vemuru Bus Encoding Scheme To Eliminate Unwanted Signal Transitions. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee, Rong-Shue Hsiao Propagation Delay Minimization on RLC-Based Bus with Repeater Insertion. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Pervez Khaled, Masud H. Chowdhury Prospects and Challenges of Handling Power Bus Modeling and Supply Noise in Package-Chip C0-design Approach. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
20Andy Gean Ye, Jonathan Rose Using bus-based connections to improve field-programmable gate array density for implementing datapath circuits. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datapath regularity, reconfigurable fabric, FPGA architecture, routing architecture, area efficiency
20Reouven Elbaz, Lionel Torres, Gilles Sassatelli, Pierre Guillemin, C. Anguille, Michel Bardouillet, Christian Buatois, Jean-Baptiste Rigaud Hardware Engines for Bus Encryption: A Survey of Existing Techniques. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Young-Taek Kim, Taehun Kim, Youngduk Kim, Chulho Shin, Eui-Young Chung, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo Fast and Accurate Transaction Level Modeling of an Extended AMBA2.0 Bus Architecture. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Anders Larsson, Erik Larsson, Petru Eles, Zebo Peng Optimization of a Bus-based Test Data Transportation Mechanism in System-on-Chip. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Automated throughput-driven synthesis of bus-based communication architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sujan Pandey, Manfred Glesner, Max Mühlhäuser Performance aware on-chip communication synthesis and optimization for shared multi-bus based architecture. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip communication architecture synthesis, optimization, algorithms
20Ivan Petkov, Paul Amblard, Marin Hristov Systematic Design Flow for Fast Hardware/Software Prototype Generation from Bus Functional Model for MPSoC. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Srinivasa R. Sridhara, Naresh R. Shanbhag A low-power bus design using joint repeater insertion and coding. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk, repeaters
20Shiqiong Tong, Michael Kleinberg, Karen Nan Miu A distributed slack bus model and its impact on distribution system application techniques. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Paul C. F. Tong, Ping-Ping Xu, Wensong Chen, John Hui, Patty Z. Q. Liu A novel substrate-triggered ESD protection structure for a bus switch IC with on-chip substrate-pump. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Yan Zhang 0028, Travis N. Blalock, Mircea R. Stan A three-level toggle-avoid bus signaling scheme. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sudeep Pasricha, Mohamed Ben-Romdhane Using TLM for Exploring Bus-based SoC Communication Architectures. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Srinivasa R. Sridhara, Naresh R. Shanbhag, Ganesh Balamurugan Joint Equalization and Coding for On-Chip Bus Communication. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan A Novel Bus Encoding Scheme from Energy and Crosstalk Efficiency Perspective for AMBA Based Generic SoC Systems. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Tina Lindkvist Additional Knowledge of Bus Invert Coding Schemes. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Bhaskar Pal, Ansuman Banerjee, Pallab Dasgupta, P. P. Chakrabarti 0001 The BUSpec platform for automated generation of verification aids for standard bus protocols. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Emilia Farcas, Wolfgang Pree, Josef Templ Bus Scheduling for TDL Components. Search on Bibsonomy Architecting Systems with Trustworthy Components The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Markus Tahedl, Hans-Jörg Pfleiderer Dynamic Wire Delay and Slew Metrics for Integrated Bus Structures. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tiberiu Seceleanu, Tomi Westerlund Aspects of Formal and Graphical Design of a Bus System. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Hue-Min Lin, Chia-Chih Yen, Che-Hua Shih, Jing-Yang Jou On compliance test of on-chip bus for SOC. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Changfan Zhang, Jing He 0003, Xiaoqing Zhu Research of intelligent humidity control system based on field-bus. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ming Zhu, Harsha Narravula, Constantine Katsinis, Diana Hecht A Channel Caching Scheme on an Optical Bus-Based Distributed Architecture. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Rainer Finocchiaro, Stefan Lankes, Andreas Jabs Design of a Real-Time CORBA Event Service Customised for the CAN Bus. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed real-time embedded (DRE) applications, Controller Area Network (CAN), Event Service, Real-Time CORBA
20Sungchan Kim, Chaeseok Im, Soonhoi Ha Efficient exploration of on-chip bus architectures and memory allocation. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication architecture optimization, design space exploration, system-on-a-chip, memory allocation
20Muhammet Mustafa Ozdal, Martin D. F. Wong A provably good algorithm for high performance bus routing. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Sathish Gopalakrishnan, Lui Sha, Marco Caccamo Hard Real-Time Communication in Bus-Based Networks. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Armin Fügenschuh, Alexander Martin 0001, Peter Stöveken Integrated Optimization of School Starting Times and Public Bus Services. Search on Bibsonomy OR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Suvodeep Gupta, Srinivas Katkoori Intra-Bus Crosstalk Estimation Using Word-Level Statistics. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Zhiqiang Liu, Cheng Zhu, Huanye Sheng, Peng Ding A Q-Based Framework for Demand Bus Simulation. Search on Bibsonomy CIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Astrit Ademaj, Håkan Sivencrona, Günther Bauer 0001, Jan Torin Evaluation of Fault Handling of the Time-Triggered Architecture with Bus and Star Topology. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Li-Da Huang, Hung-Ming Chen, D. F. Wong 0001 Global Wire Bus Configuration with Minimum Delay Uncertainty. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Sebastian Schönberg Impact of PCI-Bus Load on Applications in a PC Architecture. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Edwin Naroska, Shanq-Jang Ruan, Feipei Lai, Uwe Schwiegelshohn, Le-Chin Liu On optimizing power and crosstalk for bus coupling capacitance using genetic algorithms. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20MinYong Jeon, Hyunil Byun, JooHo Ha, KiTaek Lee, JooHyoung Kim, JiYoung Seo, KyungWoo Lee, SeungHo Lee A system-on-chip featuring variable bus architecture and enhanced video coprocessors for MPEG-4 multimedia applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Vesa Lahtinen, Erno Salminen, Kimmo Kuusilinna, Timo D. Hämäläinen Comparison of synthesized bus and crossbar interconnection architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Eun-Gu Jung, Byung-Soo Choi, Dong-Ik Lee High performance asynchronous bus for SoC. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Stephan Eberle, Peter Göhner Adaptive Information Exchange with Field Bus Systems. Search on Bibsonomy ICCSA (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Clayton Gibbs Backplane Test Bus Applications For IEEE STD 1149.1. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Stephen K. Sunter Testing High Frequency ADCs and DACs with a Low Frequency Analog Bus. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Kousuke Shinoda, Itsuki Noda, Masayuki Ohta, Yoichiro Kumada, Hideyuki Nakashima Is Dial-a-Ride Bus Reasonable in Large Scale Towns? Evaluation of Usability of Dial-a-Ride Systems by Simulation. Search on Bibsonomy MAMUS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Wei-Chung Cheng, Massoud Pedram Power-optimal encoding for a DRAM address bus. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Unni Narayanan, Ki-Seok Chung, Taewhan Kim Enhanced bus invert encodings for low-power. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Jürgen Zimmer, Louise A. Dennis Inductive Theorem Proving and Computer Algebra in the MathWeb Software Bus. Search on Bibsonomy AISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Joep L. W. Kessels, Ad M. G. Peeters, Torsten Kramer, Markus Feuser, Klaus Ully Designing an Asynchronous Bus Interface. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Michele Favalli, Cecilia Metra Single Output Distributed Two-Rail Checker with Diagnosing Capabilities for Bus Based Self-Checking Architectures. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Yewen Li, Luoming Meng High Availability Connection Management via Software Bus and Mobile Agent in Network Management Environment. Search on Bibsonomy MATA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Claudia Kretzschmar, Robert Siegmund, Dietmar Müller 0001 Adaptive Bus Encoding Technique for Switching Activity Reduced Data Transfer over Wide System Buses. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Alexandre David, Wang Yi 0001 Modelling and analysis of a commercial field bus protocol. Search on Bibsonomy ECRTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Paul Pop, Petru Eles, Zebo Peng Bus Access Optimization for Distributed Embedded Systems Based on Schedulability Analysis. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Mounir Benabdenbi, Walid Maroufi, Meryem Marzouki CAS-BUS: A Scalable and Reconfigurable Test Access Mechanism for Systems on a Chip. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Yi Pan 0001, Yamin Li, Jie Li 0002, Keqin Li 0001, Si-Qing Zheng Computing Distance Maps Efficiently Using an Optical Bus. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Diagnosing the Interconnect of Bus-Connected Multi-RAM Systems under Restricted and General Fault Models. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Geng Bai, Sudhakar Bobba, Ibrahim N. Hajj Power Bus Maximum Voltage Drop in Digital VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Naehyuck Chang, Kwanho Kim, Jinsung Cho Bus encoding for low-power high-performance memory systems. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20J. Bruce Millar, Peter Gillingham Two High-Bandwidth Memory Bus Structures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SLDRAM, Direct Rambus, DRAM, memory design
20Ahmed E. Kamal 0001, Hossam S. Hassanein Throughput analysis of WDM-based dual-bus local area networks. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Hettihe P. Dharmasena, Ramachandran Vaidyanathan Lower Bounds on the Loading of Degree-2 Multiple Bus Networks for Binary-Tree Algorithms. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Interconnect Diagnosis of Bus-Connected Multi-RAM Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Raffaele Boi, Simona Brigati, Fabrizio Francesconi, Carla Ghidini, Piero Malcovati, Franco Maloberti, Matteo Poletti Switched-capacitor Litton-code matched filter for satellite ODBH bus. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Satoshi Komatsu, Makoto Ikeda, Kunihiro Asada Low Power Chip Interface Based on Bus Data Encoding with Adaptive Code-Book Method. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Daniela Ferrero A Technique for the (d, s, N)-Bus Network Problem. Search on Bibsonomy SCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Sudhakar Bobba, Ibrahim N. Hajj Estimation of maximum current envelope for power bus analysis and design. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Kimmo Kaario, Pertti Raatikainen Dimensioning of a Multimedia Switching Bus. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Manfred Kunde, Kay Guertzig Efficient Sorting and Routing on Reconfigurable Meshes Using Restricted Bus Length. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license