Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | John Adler, Andreas G. Veneris |
Leveraging Software Configuration Management in Automated RTL Design Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 34(5), pp. 47-53, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Miroslav Siro, Dominik Macko, Katarína Jelemenská |
PMS2UPF: An automated transition from ESL to RTL power-intent specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2017, Dresden, Germany, April 19-21, 2017, pp. 140-144, 2017, IEEE, 978-1-5386-0472-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Michael Schwarz 0010, Carlos Villarraga, Dominik Stoffel, Wolfgang Kunz |
Cycle-accurate software modeling for RTL verification of embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2017, Dresden, Germany, April 19-21, 2017, pp. 103-108, 2017, IEEE, 978-1-5386-0472-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | L. Martirosyan |
Easy to use evaluation of quality characteristics for a hierarchy of RTL compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2017 IEEE East-West Design & Test Symposium, EWDTS 2017, Novi Sad, Serbia, September 29 - October 2, 2017, pp. 1-4, 2017, IEEE Computer Society, 978-1-5386-3299-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Michael Pellauer |
RTLcheck: verifying the memory consistency of RTL designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2017, Cambridge, MA, USA, October 14-18, 2017, pp. 463-476, 2017, ACM, 978-1-4503-4952-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | John Adler, Ryan Berryhill, Andreas G. Veneris |
An extensible perceptron framework for revision RTL debug automation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017, pp. 257-262, 2017, IEEE, 978-1-5090-1558-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Binod Kumar 0001, Kanad Basu, Masahiro Fujita, Virendra Singh |
RTL level trace signal selection and coverage estimation during post-silicon validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2017 IEEE International High Level Design Validation and Test Workshop, HLDVT 2017, Santa Cruz, CA, USA, October 5-6, 2017, pp. 59-66, 2017, IEEE Computer Society, 978-1-5090-3997-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Tonmoy Roy, Michael Hsiao |
Reachability analysis in RTL circuits using k-induction bounded model checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2017 IEEE International High Level Design Validation and Test Workshop, HLDVT 2017, Santa Cruz, CA, USA, October 5-6, 2017, pp. 9-16, 2017, IEEE Computer Society, 978-1-5090-3997-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Florenc Demrozi, Riccardo Zucchelli, Graziano Pravadelli |
Exploiting sub-graph isomorphism and probabilistic neural networks for the detection of hardware Trojans at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2017 IEEE International High Level Design Validation and Test Workshop, HLDVT 2017, Santa Cruz, CA, USA, October 5-6, 2017, pp. 67-73, 2017, IEEE Computer Society, 978-1-5090-3997-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Tobias Strauch |
A Novel RTL ATPG Model Based on Gate Inherent Faults of Complex Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MBMV ![In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, MBMV 2017, Bremen, Germany, February 8-9, 2017., pp. 117-128, 2017, Shaker Verlag, 978-3-8440-4996-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
15 | Yufei Ma 0002, Yu Cao 0001, Sarma B. K. Vrudhula, Jae-sun Seo |
An automatic RTL compiler for high-throughput FPGA implementation of diverse deep convolutional neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 27th International Conference on Field Programmable Logic and Applications, FPL 2017, Ghent, Belgium, September 4-8, 2017, pp. 1-8, 2017, IEEE, 978-9-0903-0428-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yijin Guan, Hao Liang, Ningyi Xu, Wenqiang Wang, Shaoshuai Shi, Xi Chen, Guangyu Sun 0003, Wei Zhang 0012, Jason Cong |
FP-DNN: An Automated Framework for Mapping Deep Neural Networks onto FPGAs with RTL-HLS Hybrid Templates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2017, Napa, CA, USA, April 30 - May 2, 2017, pp. 152-159, 2017, IEEE Computer Society, 978-1-5386-4037-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Ghaith Kazma, Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria |
Analysis of SEU propagation in sequential circuits at RTL using Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEWCAS ![In: 15th IEEE International New Circuits and Systems Conference, NEWCAS 2017, Strasbourg, France, June 25-28, 2017, pp. 237-240, 2017, IEEE, 978-1-5090-4991-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Sonal Pinto, Michael S. Hsiao |
Fast Search-Based RTL Test Generation Using Control-Flow Path Guidance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017, pp. 399-402, 2017, IEEE Computer Society, 978-1-5386-2254-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Alec Roelke, Runjie Zhang, Kaushik Mazumdar, Ke Wang 0011, Kevin Skadron, Mircea R. Stan |
Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017, pp. 597-600, 2017, IEEE Computer Society, 978-1-5386-2254-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Alif Ahmed, Prabhat Mishra 0001 |
QUEBS: Qualifying Event Based Search in Concolic Testing for Validation of RTL Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017, pp. 185-192, 2017, IEEE Computer Society, 978-1-5386-2254-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Toshinori Hosokawa, Shun Takeda, Hiroshi Yamazaki, Masayoshi Yoshimura |
Controller augmentation and test point insertion at RTL for concurrent operational unit testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 23rd IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2017, Thessaloniki, Greece, July 3-5, 2017, pp. 17-20, 2017, IEEE, 978-1-5386-0352-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Charles Chia-Hao Hsu, Charles H.-P. Wen |
Speeding up power verification by merging equivalent power domains in RTL design with UPF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC-Asia ![In: International Test Conference in Asia, ITC-Asia 2017, Taipei, Taiwan, September 13-15, 2017, pp. 168-173, 2017, IEEE, 978-1-5386-3051-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Ghaith Kazma, Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria |
Analysis of SEU Propagation in Combinational Circuits at RTL Based on Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the on Great Lakes Symposium on VLSI 2017, Banff, AB, Canada, May 10-12, 2017, pp. 239-244, 2017, ACM, 978-1-4503-4972-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Liyi Xiao, Anlong Li, Xuebing Cao, Hongchen Li, Rongsheng Zhang, Jie Li 0030, Tianqi Wang |
A method to estimate cross-section of circuits at RTL levels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASICON ![In: 12th IEEE International Conference on ASIC, ASICON 2017, Guiyang, China, October 25-28, 2017, pp. 363-366, 2017, IEEE, 978-1-5090-6625-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Kelson Gent, Akash Agrawal, Michael S. Hsiao |
A framework for fast test generation at the RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 35th IEEE VLSI Test Symposium, VTS 2017, Las Vegas, NV, USA, April 9-12, 2017, pp. 1-6, 2017, IEEE Computer Society, 978-1-5090-4482-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Sonal Pinto, Michael S. Hsiao |
RTL functional test generation using factored concolic execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: IEEE International Test Conference, ITC 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017, pp. 1-10, 2017, IEEE, 978-1-5386-3413-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Athanasios Papadimitriou, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle |
Analysis of laser-induced errors: RTL fault models versus layout locality characteristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 47, pp. 64-73, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Tobias Strauch |
A Novel RTL ATPG Model Based on Gate Inherent Faults (GIF-PO) of Complex Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1612.05166, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
15 | Yu Zhang 0071, Wenlong Feng, Mengxing Huang |
Automatic Generation of High-Coverage Tests for RTL Designs using Software Techniques and Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1602.06038, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
15 | Cheng C. Wang, Dejan Markovic |
Reconfigure your RTL with EFLX join the SoC revolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Chips Symposium ![In: 2016 IEEE Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016, pp. 1-5, 2016, IEEE, 978-1-5090-6208-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Xiaolong Guo, Raj Gautam Dutta, Prabhat Mishra 0001, Yier Jin |
Automatic RTL-to-Formal Code Converter for IP Security Formal Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 17th International Workshop on Microprocessor and SOC Test and Verification, MTV 2016, Austin, TX, USA, December 12-13, 2016, pp. 35-38, 2016, IEEE Computer Society, 978-1-4673-8924-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Zissis Poulos, Ryan Berryhill, John Adler, Andreas G. Veneris |
On simulation-based metrics that characterize the behavior of RTL errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SummerSim ![In: Proceedings of the Summer Computer Simulation Conference, SummerSim 2016, Montreal, QC, Canada, July 24-27, 2016, pp. 14, 2016, Society for Computer Simulation International / ACM DL, 978-1-5108-2424-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
15 | Haytham Saafan, M. Watheq El-Kharashi, Ashraf Salem |
SoC connectivity specification extraction using incomplete RTL design: An approach for Formal connectivity Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 11th International Design & Test Symposium, IDT 2016, Hammamet, Tunisia, December 18-20, 2016, pp. 110-114, 2016, IEEE, 978-1-5090-4900-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Kelson Gent, Michael S. Hsiao |
Fast Multi-level Test Generation at the RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2016, Pittsburgh, PA, USA, July 11-13, 2016, pp. 553-558, 2016, IEEE Computer Society, 978-1-4673-9039-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | L. Martirosyan |
A quality characteristics estimation methodology for the hierarchy of RTL compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2016 IEEE East-West Design & Test Symposium, EWDTS 2016, Yerevan, Armenia, October 14-17, 2016, pp. 1-4, 2016, IEEE Computer Society, 978-1-5090-0693-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Hamed Najafi Haghi, Mikhail M. Chupilko, Alexander S. Kamkin, Zainalabedin Navabi |
ESL design with RTL-verified predesigned abstract communication channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2016 IEEE East-West Design & Test Symposium, EWDTS 2016, Yerevan, Armenia, October 14-17, 2016, pp. 1-7, 2016, IEEE Computer Society, 978-1-5090-0693-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Charalampos Ananiadis, Athanasios Papadimitriou, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle |
On the development of a new countermeasure based on a laser attack RTL fault model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016, pp. 445-450, 2016, IEEE, 978-3-9815-3707-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
15 | William Diehl, Kris Gaj |
RTL Implementations and FPGA Benchmarking of Three Authenticated Ciphers Competing in CAESAR Round Two. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2016 Euromicro Conference on Digital System Design, DSD 2016, Limassol, Cyprus, August 31 - September 2, 2016, pp. 91-98, 2016, IEEE Computer Society, 978-1-5090-2817-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Dustin Peterson, Oliver Bringmann 0001 |
SMoSi: A framework for the derivation of sleep mode traces from RTL simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016, pp. 330-335, 2016, IEEE, 978-1-4673-9569-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Qinhao Wang, Yusuke Kimura, Masahiro Fujita |
Automatically adjusting system level designs after RTL/gate-level ECO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: IEEE International High Level Design Validation and Test Workshop, HLDVT 2016, Santa Cruz, CA, USA, October 7-8, 2016, pp. 108-112, 2016, IEEE, 978-1-5090-4270-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Tomás Grimm, Djones Lettnin, Michael Hübner 0001 |
Automatic generation of RTL connectivity checkers from SystemC TLM and IP-XACT descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NORCAS ![In: IEEE Nordic Circuits and Systems Conference, NORCAS 2016, Copenhagen, Denmark, November 1-2, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-1095-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Yufei Ma 0002, Naveen Suda, Yu Cao 0001, Jae-sun Seo, Sarma B. K. Vrudhula |
Scalable and modularized RTL compilation of Convolutional Neural Networks onto FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 26th International Conference on Field Programmable Logic and Applications, FPL 2016, Lausanne, Switzerland, August 29 - September 2, 2016, pp. 1-8, 2016, IEEE, 978-2-8399-1844-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, Eric Rotenberg |
AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2016, Uppsala, Sweden, April 17-19, 2016, pp. 214-224, 2016, IEEE Computer Society, 978-1-5090-1953-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | William Diehl, Kris Gaj |
High-Speed RTL Implementations and FPGA Benchmarking of Three Authenticated Ciphers Competing in CAESAR Round Two. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2016, Washington, DC, USA, May 1-3, 2016, pp. 93, 2016, IEEE Computer Society, 978-1-5090-2356-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler |
On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation - a case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: 2016 Forum on Specification and Design Languages, FDL 2016, Bremen, Germany, September 14-16, 2016, pp. 1-8, 2016, IEEE, 979-10-92279-17-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | H. Mohamed, Pavlos I. Lazaridis, David Upton, Umar F. Khan, Bahghtar Saeed, Adel Jaber, Yong Zhang 0054, Peter Mather, Maria F. Q. Vieira, K. W. Barlee, D. S. W. Atkinson, Albena Mihovska, Liljana Gavrilovska, Ian A. Glover |
Partial discharge detection using low cost RTL-SDR model for wideband spectrum sensing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICT ![In: 23rd International Conference on Telecommunications, ICT 2016, Thessaloniki, Greece, May 16-18, 2016, pp. 1-5, 2016, IEEE, 978-1-5090-1990-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Liang Wang 0055, Kevin Skadron |
Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 34th IEEE International Conference on Computer Design, ICCD 2016, Scottsdale, AZ, USA, October 2-5, 2016, pp. 328-335, 2016, IEEE Computer Society, 978-1-5090-5142-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria |
Towards formal abstraction, modeling, and analysis of Single Event Transients at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2016, Montréal, QC, Canada, May 22-25, 2016, pp. 2166-2169, 2016, IEEE, 978-1-4799-5341-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Romain Champon, Vincent Beroulle, Athanasios Papadimitriou, David Hély, Gilles Genévrier, Frédéric Cézilly |
Comparison of RTL fault models for the robustness evaluation of aerospace FPGA devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 22nd IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016, pp. 23-24, 2016, IEEE, 978-1-5090-1507-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001 |
Modeling RTL fault models behavior to increase the confidence on TSIM-based fault injection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 22nd IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016, pp. 60-65, 2016, IEEE, 978-1-5090-1507-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Jian Hu, Tun Li, Sikun Li |
Equivalence checking between SLM and RTL using machine learning techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016, pp. 129-134, 2016, IEEE, 978-1-5090-1213-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Khaled Khalifa, Khaled Salah 0001 |
An RTL power optimization technique based on System Verilog assertions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UEMCON ![In: 7th IEEE Annual Ubiquitous Computing, Electronics & Mobile Communication Conference, UEMCON 2016, New York City, NY, USA, October 20-22, 2016, pp. 1-4, 2016, IEEE, 978-1-5090-1496-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Donggyu Kim, Adam M. Izraelevitz, Christopher Celio, Hokeun Kim, Brian Zimmer, Yunsup Lee, Jonathan Bachrach, Krste Asanovic |
Strober: Fast and Accurate Sample-Based Energy Simulation for Arbitrary RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 43rd ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2016, Seoul, South Korea, June 18-22, 2016, pp. 128-139, 2016, IEEE Computer Society, 978-1-4673-8947-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Ashish Sharma 0005, Ruby Ansar, Manoj Singh Gaur, Lava Bhargava, Vijay Laxmi |
Reducing FIFO buffer power using architectural alternatives at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 20th International Symposium on VLSI Design and Test, VDAT 2016, Guwahati, India, May 24-27, 2016, pp. 1-2, 2016, IEEE, 978-1-5090-1422-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Sri Harsha Gade, Praveen Kumar, Sujay Deb |
A Pre-RTL floorplanner tool for automated CMP design space exploration with thermal awareness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 20th International Symposium on VLSI Design and Test, VDAT 2016, Guwahati, India, May 24-27, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-1422-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
15 | Tom Davidson, Elias Vansteenkiste, Karel Heyse, Karel Bruneel, Dirk Stroobandt |
Identification of Dynamic Circuit Specialization Opportunities in RTL Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 8(1), pp. 4:1-4:24, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Elias Kougianos, Saraju P. Mohanty |
A nature-inspired firefly algorithm based approach for nanoscale leakage optimal RTL structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 51, pp. 46-60, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Robert W. Stewart, Louise Crockett, Dale Atkinson, Kenneth Barlee, David H. Crawford, Iain Chalmers, Mike McLernon, Ethem Sozer |
A low-cost desktop software defined radio design environment using MATLAB, simulink, and the RTL-SDR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Commun. Mag. ![In: IEEE Commun. Mag. 53(9), pp. 64-71, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Bijan Alizadeh, Payman Behnam, Somayeh Sadeghi Kohan |
A Scalable Formal Debugging Approach with Auto-Correction Capability Based on Static Slicing and Dynamic Ranking for RTL Datapath Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 64(6), pp. 1564-1578, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Raghuraman Balasubramanian, Vinay Gangadhar, Ziliang Guo, Chen-Han Ho, Cherin Joseph, Jaikrishnan Menon, Mario Paulo Drumond, Robin Paul, Sharath Prasad, Pradip Valathol, Karthikeyan Sankaralingam |
Enabling GPGPU Low-Level Hardware Explorations with MIAOW: An Open-Source RTL Implementation of a GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 12(2), pp. 21:21:1-21:21:25, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Graziano Pravadelli, Francesco Stefanni, Tara Ghasempouri, Michele Lora, Giovanni Auditore, Mirella Negro Marcigaglia |
Reusing RTL Assertion Checkers for Verification of SystemC TLM Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 31(2), pp. 167-180, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang |
A Unified Sequential Equivalence Checking Methodology to Verify RTL Designs with High-Level Functional and Protocol Specification Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 31(3), pp. 255-273, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Liang Chen 0014, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori |
Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 31(2), pp. 193-206, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Nicola Bombieri, Franco Fummi, Sara Vinco |
A Methodology to Recover RTL IP Functionality for Automatic Generation of SW Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 20(3), pp. 36:1-36:26, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Jordi Cortadella, Marc Galceran Oms, Michael Kishinevsky, Sachin S. Sapatnekar |
RTL Synthesis: From Logic Synthesis to Automatic Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 103(11), pp. 2061-2075, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Syed Saif Abrar, Maksim Jenihhin, Jaan Raik |
SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, Belgrade, Serbia, April 22-24, 2015, pp. 71-74, 2015, IEEE Computer Society, 978-1-4799-6779-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Khyati Vachhani, Arvind Mallari Rao |
Experimental study on wide band FM receiver using GNURadio and RTL-SDR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICACCI ![In: 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015, Kochi, India, August 10-13, 2015, pp. 1810-1814, 2015, IEEE, 978-1-4799-8790-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Syed Saif Abrar, Maksim Jenihhin, Jaan Raik |
FSMD RTL design manipulation for clock interface abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICACCI ![In: 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015, Kochi, India, August 10-13, 2015, pp. 463-468, 2015, IEEE, 978-1-4799-8790-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | David J. Greaves |
Layering RTL, SAFL, Handel-C and Bluespec constructs on Chisel HCL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 13. ACM/IEEE International Conference on Formal Methods and Models for Codesign, MEMOCODE 2015, Austin, TX, USA, September 21-23, 2015, pp. 108-117, 2015, IEEE, 978-1-5090-0237-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Prateek Puri, Michael S. Hsiao |
Fast Stimuli Generation for Design Validation of RTL Circuits Using Binary Particle Swarm Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2015 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2015, Montpellier, France, July 8-10, 2015, pp. 573-578, 2015, IEEE Computer Society, 978-1-4799-8719-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Nicola Bombieri, Riccardo Filippozzi, Graziano Pravadelli, Francesco Stefanni |
RTL property abstraction for TLM assertion-based verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 85-90, 2015, ACM, 978-3-9815370-4-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
15 | Vineeth V. Acharya, Sharad Bagri, Michael S. Hsiao |
Branch guided functional test generation at the RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 20th IEEE European Test Symposium, ETS 2015, Cluj-Napoca, Romania, 25-29 May, 2015, pp. 1-6, 2015, IEEE, 978-1-4799-7603-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Jianlei Yang 0001, Liwei Ma, Kang Zhao, Yici Cai, Tin-Fook Ngai |
Early stage real-time SoC power estimation using RTL instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015, pp. 779-784, 2015, IEEE, 978-1-4799-7792-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz |
Architectural System Modeling for Correct-by-Construction RTL Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MBMV ![In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, MBMV 2015, Chemnitz, Germany, March 3-4, 2015., pp. 93-104, 2015, Sächsische Landesbibliothek, 978-3-00-048889-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
15 | Michael Papamichael, Cagla Cakir, Chen Sun 0003, Chia-Hsin Owen Chen, James C. Hoe, Ken Mai, Li-Shiuan Peh, Vladimir Stojanovic |
DELPHI: a framework for RTL-based architecture design evaluation using DSENT models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2015 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2015, Philadelphia, PA, USA, March 29-31, 2015, pp. 11-20, 2015, IEEE Computer Society, 978-1-4799-1957-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Amani Darwish, Laurent Fesquet, Gilles Sicard |
RTL simulation of an asynchronous reading architecture for an event-driven image sensor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EBCCSP ![In: International Conference on Event-based Control, Communication, and Signal Processing, EBCCSP 2015, Krakow, Poland, June 17-19, 2015, pp. 1-4, 2015, IEEE, 978-1-4673-7888-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Shimpei Sato, Kenji Kise |
ArchHDL: A Novel Hardware RTL Design Environment in C++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Applied Reconfigurable Computing - 11th International Symposium, ARC 2015, Bochum, Germany, April 13-17, 2015, Proceedings, pp. 53-64, 2015, Springer, 978-3-319-16213-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | E. G. Sierra, Germán Augusto Ramírez Arroyave |
Low cost SDR spectrum analyzer and analog radio receiver using GNU radio, raspberry Pi2 and SDR-RTL dongle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATINCOM ![In: 7th IEEE Latin-American Conference on Communications, LATINCOM 2015, Arequipa, Peru, November 4-6, 2015, pp. 1-6, 2015, IEEE, 978-1-4673-8451-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz |
Architectural system modeling for correct-by-construction RTL design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: 2015 Forum on Specification and Design Languages, FDL 2015, Barcelona, Spain, September 14-16, 2015, pp. 90-97, 2015, IEEE, 978-1-4673-7735-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Veselin N. Ivanovic, Nevena Radovic, Srdjan Jovanovski, Zdravko Uskokovic |
Local frequency estimation-based space/spatial-frequency optimal filter developed in the RTL design methodology versus the corresponding GPU-based implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MECO ![In: 4th Mediterranean Conference on Embedded Computing, MECO 2015, Budva, Montenegro, June 14-18, 2015, pp. 174-177, 2015, IEEE, 978-1-4799-8999-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Raghuraman Balasubramanian, Vinay Gangadhar, Ziliang Guo, Chen-Han Ho, Cherin Joseph, Jaikrishnan Menon, Mario Paulo Drumond, Robin Paul, Sharath Prasad, Pradip Valathol, Karthikeyan Sankaralingam |
MIAOW - An open source RTL implementation of a GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COOL Chips ![In: 2015 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS XVIII, Yokohama, Japan, April 13-15, 2015, pp. 1-3, 2015, IEEE Computer Society, 978-1-4673-7325-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Andrew Becker, Djordje Maksimovic, David Novo, Mohsen Ewaida, Andreas G. Veneris, Barbara Jobstmann, Paolo Ienne |
FudgeFactor: Syntax-Guided Synthesis for Accurate RTL Error Localization and Correction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haifa Verification Conference ![In: Hardware and Software: Verification and Testing - 11th International Haifa Verification Conference, HVC 2015, Haifa, Israel, November 17-19, 2015, Proceedings, pp. 259-275, 2015, Springer, 978-3-319-26286-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Vijay Kiran Kalyanam, Martin Saint-Laurent, Jacob A. Abraham |
Power-aware multi-voltage custom memory models for enhancing RTL and low power verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 33rd IEEE International Conference on Computer Design, ICCD 2015, New York City, NY, USA, October 18-21, 2015, pp. 24-31, 2015, IEEE Computer Society, 978-1-4673-7166-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Prateek Puri, Michael S. Hsiao |
SI-SMART: Functional test generation for RTL circuits using loop abstraction and learning recurrence relationships. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 33rd IEEE International Conference on Computer Design, ICCD 2015, New York City, NY, USA, October 18-21, 2015, pp. 38-45, 2015, IEEE Computer Society, 978-1-4673-7166-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Jian Hu, Tun Li, Sikun Li |
Formal equivalence checking between SLM and RTL descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: 28th IEEE International System-on-Chip Conference, SOCC 2015, Beijing, China, September 8-11, 2015, pp. 131-136, 2015, IEEE, 978-1-4673-9094-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Mark A. Wickert, McKenna R. Lovejoy |
Hands-on software defined radio experiments with the low-cost RTL-SDR dongle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SP/SPE ![In: IEEE Signal Processing and Signal Processing Education Workshop, SP/SPE 2015, Salt Lake City, UT, USA, August 9-12, 2015, pp. 65-70, 2015, IEEE, 978-1-4673-9169-6. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Raul Acosta Hernandez, Marius Strum, Jiang Chau Wang |
Transformations on the FSMD of the RTL code with combinational logic statements for equivalence checking of HLS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATS ![In: 16th Latin-American Test Symposium, LATS 2015, Puerto Vallarta, Mexico, March 25-27, 2015, pp. 1-6, 2015, IEEE Computer Society, 978-1-4673-6710-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Athanasios Papadimitriou, Marios Tampas, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle |
Validation of RTL laser fault injection model with respect to layout information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HOST ![In: IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2015, Washington, DC, USA, 5-7 May, 2015, pp. 78-81, 2015, IEEE Computer Society, 978-1-4673-7420-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Sharad Bagri, Kelson Gent, Michael S. Hsiao |
Signal domain based reachability analysis in RTL circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015, pp. 250-256, 2015, IEEE, 978-1-4799-7581-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Spandana Rachamalla, Arun Joseph, Rahul M. Rao, Diwesh Pandey |
Virtual logic netlist: Enabling efficient RTL analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015, pp. 571-576, 2015, IEEE, 978-1-4799-7581-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Wen-Ping Lee, Cheng-Yen Wang |
Reusable and flexible verification methodology from architecture to RTL design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-DAT ![In: VLSI Design, Automation and Test, VLSI-DAT 2015, Hsinchu, Taiwan, April 27-29, 2015, pp. 1-4, 2015, IEEE, 978-1-4799-6275-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Nicole Fern, Shrikant Kulkarni, Kwang-Ting (Tim) Cheng |
Hardware Trojans hidden in RTL don't cares - Automated insertion and prevention methodologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2015 IEEE International Test Conference, ITC 2015, Anaheim, CA, USA, October 6-8, 2015, pp. 1-8, 2015, IEEE, 978-1-4673-6578-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001, David de Andrés, Juan-Carlos Ruiz-Garcia |
Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 40:1-40:6, 2015, ACM, 978-1-4503-3520-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
15 | Tiago Rogério Mück, Antônio Augusto Fröhlich |
Aspect-oriented RTL HW design using SystemC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 38(2), pp. 113-123, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Hratch Mangassarian, Bao Le, Andreas G. Veneris |
Debugging RTL Using Structural Dominance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(1), pp. 153-166, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Lingyi Liu, Shobha Vasudevan |
Scaling Input Stimulus Generation through Hybrid Static and Dynamic Analysis of RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 20(1), pp. 4:1-4:33, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Abhishek Jain 0003, Hima Gupta, Sandeep Jana, Krishna Kumar |
Early Development of UVM based Verification Environment of Image Signal Processing Designs using TLM Reference Model of RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1408.1150, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
15 | Maksim Jenihhin, Anton Tsepurov, Valentin Tihhomirov, Jaan Raik, Hanno Hantson, Raimund Ubar, Gunter Bartsch, Jorge Hernán Meza Escobar, Heinz-Dietrich Wuttke |
Automated Design Error Localization in RTL Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 31(1), pp. 83-92, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Tariq Bashir Ahmad, Maciej J. Ciesielski |
Fast time-parallel C-based event-driven RTL simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2014, Warsaw, Poland, 23-25 April, 2014, pp. 71-76, 2014, IEEE Computer Society, 978-1-4799-4560-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Carla Purdy, Chandan Singh, Rashna Seli |
Fixing power bugs at RTL stage using PSL assertions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 57th International Midwest Symposium on Circuits and Systems, MWSCAS 2014, College Station, TX, USA, August 3-6, 2014, pp. 218-221, 2014, IEEE, 978-1-4799-4134-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Tomoaki Sato, Sorawat Chivapreecha, Phichet Moungnoul |
Wiring control by RTL design for reconfigurable wave-pipelined circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSIPA ![In: Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, APSIPA 2014, Chiang Mai, Thailand, December 9-12, 2014, pp. 1-6, 2014, IEEE, 978-6-1636-1823-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Zeineb Bel Hadj Amor, Laurence Pierre, Dominique Borrione |
A tool for the automatic TLM-to-RTL conversion of embedded systems requirements for a seamless verification flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 22nd International Conference on Very Large Scale Integration, VLSI-SoC, Playa del Carmen, Mexico, October 6-8, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-6016-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Shilpa Pendyala, Srinivas Katkoori |
Interval Arithmetic and Self Similarity Based Subthreshold Leakage Optimization in RTL Datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC (Selected Papers) ![In: VLSI-SoC: Internet of Things Foundations - 22nd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Playa del Carmen, Mexico, October 6-8, 2014, Revised and Extended Selected Papers, pp. 75-94, 2014, Springer, 978-3-319-25278-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Shilpa Pendyala, Srinivas Katkoori |
Self similarity and interval arithmetic based leakage optimization in RTL datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 22nd International Conference on Very Large Scale Integration, VLSI-SoC, Playa del Carmen, Mexico, October 6-8, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-6016-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|