The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15John Adler, Andreas G. Veneris Leveraging Software Configuration Management in Automated RTL Design Debug. Search on Bibsonomy IEEE Des. Test The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Miroslav Siro, Dominik Macko, Katarína Jelemenská PMS2UPF: An automated transition from ESL to RTL power-intent specification. Search on Bibsonomy DDECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Michael Schwarz 0010, Carlos Villarraga, Dominik Stoffel, Wolfgang Kunz Cycle-accurate software modeling for RTL verification of embedded systems. Search on Bibsonomy DDECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15L. Martirosyan Easy to use evaluation of quality characteristics for a hierarchy of RTL compilers. Search on Bibsonomy EWDTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Michael Pellauer RTLcheck: verifying the memory consistency of RTL designs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15John Adler, Ryan Berryhill, Andreas G. Veneris An extensible perceptron framework for revision RTL debug automation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Binod Kumar 0001, Kanad Basu, Masahiro Fujita, Virendra Singh RTL level trace signal selection and coverage estimation during post-silicon validation. Search on Bibsonomy HLDVT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Tonmoy Roy, Michael Hsiao Reachability analysis in RTL circuits using k-induction bounded model checking. Search on Bibsonomy HLDVT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Florenc Demrozi, Riccardo Zucchelli, Graziano Pravadelli Exploiting sub-graph isomorphism and probabilistic neural networks for the detection of hardware Trojans at RTL. Search on Bibsonomy HLDVT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Tobias Strauch A Novel RTL ATPG Model Based on Gate Inherent Faults of Complex Gates. Search on Bibsonomy MBMV The full citation details ... 2017 DBLP  BibTeX  RDF
15Yufei Ma 0002, Yu Cao 0001, Sarma B. K. Vrudhula, Jae-sun Seo An automatic RTL compiler for high-throughput FPGA implementation of diverse deep convolutional neural networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yijin Guan, Hao Liang, Ningyi Xu, Wenqiang Wang, Shaoshuai Shi, Xi Chen, Guangyu Sun 0003, Wei Zhang 0012, Jason Cong FP-DNN: An Automated Framework for Mapping Deep Neural Networks onto FPGAs with RTL-HLS Hybrid Templates. Search on Bibsonomy FCCM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Ghaith Kazma, Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Analysis of SEU propagation in sequential circuits at RTL using Satisfiability Modulo Theories. Search on Bibsonomy NEWCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Sonal Pinto, Michael S. Hsiao Fast Search-Based RTL Test Generation Using Control-Flow Path Guidance. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Alec Roelke, Runjie Zhang, Kaushik Mazumdar, Ke Wang 0011, Kevin Skadron, Mircea R. Stan Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Alif Ahmed, Prabhat Mishra 0001 QUEBS: Qualifying Event Based Search in Concolic Testing for Validation of RTL Models. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Toshinori Hosokawa, Shun Takeda, Hiroshi Yamazaki, Masayoshi Yoshimura Controller augmentation and test point insertion at RTL for concurrent operational unit testing. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Charles Chia-Hao Hsu, Charles H.-P. Wen Speeding up power verification by merging equivalent power domains in RTL design with UPF. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Ghaith Kazma, Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Analysis of SEU Propagation in Combinational Circuits at RTL Based on Satisfiability Modulo Theories. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Liyi Xiao, Anlong Li, Xuebing Cao, Hongchen Li, Rongsheng Zhang, Jie Li 0030, Tianqi Wang A method to estimate cross-section of circuits at RTL levels. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Kelson Gent, Akash Agrawal, Michael S. Hsiao A framework for fast test generation at the RTL. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Sonal Pinto, Michael S. Hsiao RTL functional test generation using factored concolic execution. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Athanasios Papadimitriou, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle Analysis of laser-induced errors: RTL fault models versus layout locality characteristics. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Tobias Strauch A Novel RTL ATPG Model Based on Gate Inherent Faults (GIF-PO) of Complex Gates. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
15Yu Zhang 0071, Wenlong Feng, Mengxing Huang Automatic Generation of High-Coverage Tests for RTL Designs using Software Techniques and Tools. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
15Cheng C. Wang, Dejan Markovic Reconfigure your RTL with EFLX join the SoC revolution. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Xiaolong Guo, Raj Gautam Dutta, Prabhat Mishra 0001, Yier Jin Automatic RTL-to-Formal Code Converter for IP Security Formal Verification. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Zissis Poulos, Ryan Berryhill, John Adler, Andreas G. Veneris On simulation-based metrics that characterize the behavior of RTL errors. Search on Bibsonomy SummerSim The full citation details ... 2016 DBLP  BibTeX  RDF
15Haytham Saafan, M. Watheq El-Kharashi, Ashraf Salem SoC connectivity specification extraction using incomplete RTL design: An approach for Formal connectivity Verification. Search on Bibsonomy IDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Kelson Gent, Michael S. Hsiao Fast Multi-level Test Generation at the RTL. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15L. Martirosyan A quality characteristics estimation methodology for the hierarchy of RTL compilers. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Hamed Najafi Haghi, Mikhail M. Chupilko, Alexander S. Kamkin, Zainalabedin Navabi ESL design with RTL-verified predesigned abstract communication channels. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Charalampos Ananiadis, Athanasios Papadimitriou, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle On the development of a new countermeasure based on a laser attack RTL fault model. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
15William Diehl, Kris Gaj RTL Implementations and FPGA Benchmarking of Three Authenticated Ciphers Competing in CAESAR Round Two. Search on Bibsonomy DSD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Dustin Peterson, Oliver Bringmann 0001 SMoSi: A framework for the derivation of sleep mode traces from RTL simulations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Qinhao Wang, Yusuke Kimura, Masahiro Fujita Automatically adjusting system level designs after RTL/gate-level ECO. Search on Bibsonomy HLDVT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Tomás Grimm, Djones Lettnin, Michael Hübner 0001 Automatic generation of RTL connectivity checkers from SystemC TLM and IP-XACT descriptions. Search on Bibsonomy NORCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Yufei Ma 0002, Naveen Suda, Yu Cao 0001, Jae-sun Seo, Sarma B. K. Vrudhula Scalable and modularized RTL compilation of Convolutional Neural Networks onto FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, Eric Rotenberg AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15William Diehl, Kris Gaj High-Speed RTL Implementations and FPGA Benchmarking of Three Authenticated Ciphers Competing in CAESAR Round Two. Search on Bibsonomy FCCM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation - a case study. Search on Bibsonomy FDL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15H. Mohamed, Pavlos I. Lazaridis, David Upton, Umar F. Khan, Bahghtar Saeed, Adel Jaber, Yong Zhang 0054, Peter Mather, Maria F. Q. Vieira, K. W. Barlee, D. S. W. Atkinson, Albena Mihovska, Liljana Gavrilovska, Ian A. Glover Partial discharge detection using low cost RTL-SDR model for wideband spectrum sensing. Search on Bibsonomy ICT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Liang Wang 0055, Kevin Skadron Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Towards formal abstraction, modeling, and analysis of Single Event Transients at RTL. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Romain Champon, Vincent Beroulle, Athanasios Papadimitriou, David Hély, Gilles Genévrier, Frédéric Cézilly Comparison of RTL fault models for the robustness evaluation of aerospace FPGA devices. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001 Modeling RTL fault models behavior to increase the confidence on TSIM-based fault injection. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Jian Hu, Tun Li, Sikun Li Equivalence checking between SLM and RTL using machine learning techniques. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Khaled Khalifa, Khaled Salah 0001 An RTL power optimization technique based on System Verilog assertions. Search on Bibsonomy UEMCON The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Donggyu Kim, Adam M. Izraelevitz, Christopher Celio, Hokeun Kim, Brian Zimmer, Yunsup Lee, Jonathan Bachrach, Krste Asanovic Strober: Fast and Accurate Sample-Based Energy Simulation for Arbitrary RTL. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Ashish Sharma 0005, Ruby Ansar, Manoj Singh Gaur, Lava Bhargava, Vijay Laxmi Reducing FIFO buffer power using architectural alternatives at RTL. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Sri Harsha Gade, Praveen Kumar, Sujay Deb A Pre-RTL floorplanner tool for automated CMP design space exploration with thermal awareness. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Tom Davidson, Elias Vansteenkiste, Karel Heyse, Karel Bruneel, Dirk Stroobandt Identification of Dynamic Circuit Specialization Opportunities in RTL Code. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Elias Kougianos, Saraju P. Mohanty A nature-inspired firefly algorithm based approach for nanoscale leakage optimal RTL structure. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Robert W. Stewart, Louise Crockett, Dale Atkinson, Kenneth Barlee, David H. Crawford, Iain Chalmers, Mike McLernon, Ethem Sozer A low-cost desktop software defined radio design environment using MATLAB, simulink, and the RTL-SDR. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Bijan Alizadeh, Payman Behnam, Somayeh Sadeghi Kohan A Scalable Formal Debugging Approach with Auto-Correction Capability Based on Static Slicing and Dynamic Ranking for RTL Datapath Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Raghuraman Balasubramanian, Vinay Gangadhar, Ziliang Guo, Chen-Han Ho, Cherin Joseph, Jaikrishnan Menon, Mario Paulo Drumond, Robin Paul, Sharath Prasad, Pradip Valathol, Karthikeyan Sankaralingam Enabling GPGPU Low-Level Hardware Explorations with MIAOW: An Open-Source RTL Implementation of a GPGPU. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Graziano Pravadelli, Francesco Stefanni, Tara Ghasempouri, Michele Lora, Giovanni Auditore, Mirella Negro Marcigaglia Reusing RTL Assertion Checkers for Verification of SystemC TLM Models. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang A Unified Sequential Equivalence Checking Methodology to Verify RTL Designs with High-Level Functional and Protocol Specification Models. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Liang Chen 0014, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Sara Vinco A Methodology to Recover RTL IP Functionality for Automatic Generation of SW Applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jordi Cortadella, Marc Galceran Oms, Michael Kishinevsky, Sachin S. Sapatnekar RTL Synthesis: From Logic Synthesis to Automatic Pipelining. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Syed Saif Abrar, Maksim Jenihhin, Jaan Raik SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Khyati Vachhani, Arvind Mallari Rao Experimental study on wide band FM receiver using GNURadio and RTL-SDR. Search on Bibsonomy ICACCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Syed Saif Abrar, Maksim Jenihhin, Jaan Raik FSMD RTL design manipulation for clock interface abstraction. Search on Bibsonomy ICACCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15David J. Greaves Layering RTL, SAFL, Handel-C and Bluespec constructs on Chisel HCL. Search on Bibsonomy MEMOCODE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Prateek Puri, Michael S. Hsiao Fast Stimuli Generation for Design Validation of RTL Circuits Using Binary Particle Swarm Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Riccardo Filippozzi, Graziano Pravadelli, Francesco Stefanni RTL property abstraction for TLM assertion-based verification. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
15Vineeth V. Acharya, Sharad Bagri, Michael S. Hsiao Branch guided functional test generation at the RTL. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jianlei Yang 0001, Liwei Ma, Kang Zhao, Yici Cai, Tin-Fook Ngai Early stage real-time SoC power estimation using RTL instrumentation. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz Architectural System Modeling for Correct-by-Construction RTL Design. Search on Bibsonomy MBMV The full citation details ... 2015 DBLP  BibTeX  RDF
15Michael Papamichael, Cagla Cakir, Chen Sun 0003, Chia-Hsin Owen Chen, James C. Hoe, Ken Mai, Li-Shiuan Peh, Vladimir Stojanovic DELPHI: a framework for RTL-based architecture design evaluation using DSENT models. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Amani Darwish, Laurent Fesquet, Gilles Sicard RTL simulation of an asynchronous reading architecture for an event-driven image sensor. Search on Bibsonomy EBCCSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Shimpei Sato, Kenji Kise ArchHDL: A Novel Hardware RTL Design Environment in C++. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15E. G. Sierra, Germán Augusto Ramírez Arroyave Low cost SDR spectrum analyzer and analog radio receiver using GNU radio, raspberry Pi2 and SDR-RTL dongle. Search on Bibsonomy LATINCOM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz Architectural system modeling for correct-by-construction RTL design. Search on Bibsonomy FDL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Veselin N. Ivanovic, Nevena Radovic, Srdjan Jovanovski, Zdravko Uskokovic Local frequency estimation-based space/spatial-frequency optimal filter developed in the RTL design methodology versus the corresponding GPU-based implementations. Search on Bibsonomy MECO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Raghuraman Balasubramanian, Vinay Gangadhar, Ziliang Guo, Chen-Han Ho, Cherin Joseph, Jaikrishnan Menon, Mario Paulo Drumond, Robin Paul, Sharath Prasad, Pradip Valathol, Karthikeyan Sankaralingam MIAOW - An open source RTL implementation of a GPGPU. Search on Bibsonomy COOL Chips The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Andrew Becker, Djordje Maksimovic, David Novo, Mohsen Ewaida, Andreas G. Veneris, Barbara Jobstmann, Paolo Ienne FudgeFactor: Syntax-Guided Synthesis for Accurate RTL Error Localization and Correction. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Vijay Kiran Kalyanam, Martin Saint-Laurent, Jacob A. Abraham Power-aware multi-voltage custom memory models for enhancing RTL and low power verification. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Prateek Puri, Michael S. Hsiao SI-SMART: Functional test generation for RTL circuits using loop abstraction and learning recurrence relationships. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jian Hu, Tun Li, Sikun Li Formal equivalence checking between SLM and RTL descriptions. Search on Bibsonomy SoCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Mark A. Wickert, McKenna R. Lovejoy Hands-on software defined radio experiments with the low-cost RTL-SDR dongle. Search on Bibsonomy SP/SPE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Raul Acosta Hernandez, Marius Strum, Jiang Chau Wang Transformations on the FSMD of the RTL code with combinational logic statements for equivalence checking of HLS. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Athanasios Papadimitriou, Marios Tampas, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle Validation of RTL laser fault injection model with respect to layout information. Search on Bibsonomy HOST The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sharad Bagri, Kelson Gent, Michael S. Hsiao Signal domain based reachability analysis in RTL circuits. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Spandana Rachamalla, Arun Joseph, Rahul M. Rao, Diwesh Pandey Virtual logic netlist: Enabling efficient RTL analysis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Wen-Ping Lee, Cheng-Yen Wang Reusable and flexible verification methodology from architecture to RTL design. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Nicole Fern, Shrikant Kulkarni, Kwang-Ting (Tim) Cheng Hardware Trojans hidden in RTL don't cares - Automated insertion and prevention methodologies. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001, David de Andrés, Juan-Carlos Ruiz-Garcia Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verification. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Tiago Rogério Mück, Antônio Augusto Fröhlich Aspect-oriented RTL HW design using SystemC. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Hratch Mangassarian, Bao Le, Andreas G. Veneris Debugging RTL Using Structural Dominance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Lingyi Liu, Shobha Vasudevan Scaling Input Stimulus Generation through Hybrid Static and Dynamic Analysis of RTL. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Abhishek Jain 0003, Hima Gupta, Sandeep Jana, Krishna Kumar Early Development of UVM based Verification Environment of Image Signal Processing Designs using TLM Reference Model of RTL. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
15Maksim Jenihhin, Anton Tsepurov, Valentin Tihhomirov, Jaan Raik, Hanno Hantson, Raimund Ubar, Gunter Bartsch, Jorge Hernán Meza Escobar, Heinz-Dietrich Wuttke Automated Design Error Localization in RTL Designs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Tariq Bashir Ahmad, Maciej J. Ciesielski Fast time-parallel C-based event-driven RTL simulation. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Carla Purdy, Chandan Singh, Rashna Seli Fixing power bugs at RTL stage using PSL assertions. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Tomoaki Sato, Sorawat Chivapreecha, Phichet Moungnoul Wiring control by RTL design for reconfigurable wave-pipelined circuits. Search on Bibsonomy APSIPA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Zeineb Bel Hadj Amor, Laurence Pierre, Dominique Borrione A tool for the automatic TLM-to-RTL conversion of embedded systems requirements for a seamless verification flow. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Srinivas Katkoori Interval Arithmetic and Self Similarity Based Subthreshold Leakage Optimization in RTL Datapaths. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Srinivas Katkoori Self similarity and interval arithmetic based leakage optimization in RTL datapaths. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license