|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 417 occurrences of 253 keywords
|
|
|
Results
Found 1051 publication records. Showing 1051 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Chen-Yong Cher, K. Paul Muller, Ruud A. Haring, David L. Satterfield, Thomas E. Musta, Thomas Gooding, Kristan D. Davis, Marc Boris Dombrowa, Gerard V. Kopcsay, Robert M. Senger, Yutaka Sugawara, Krishnan Sugavanam |
Soft Error Resiliency Characterization on IBM BlueGene/Q Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 385-387, 2014, IEEE, 978-1-4799-2816-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Eric P. Kim, Jungwook Choi, Naresh R. Shanbhag, Rob A. Rutenbar |
A robust message passing based stereo matching kernel via system-level error resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2014, Florence, Italy, May 4-9, 2014, pp. 8331-8335, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Partha Sarathi Roy 0001, Avishek Adhikari, Rui Xu 0006, Kirill Morozov, Kouichi Sakurai |
An Efficient Robust Secret Sharing Scheme with Optimal Cheater Resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPACE ![In: Security, Privacy, and Applied Cryptography Engineering - 4th International Conference, SPACE 2014, Pune, India, October 18-22, 2014. Proceedings, pp. 47-58, 2014, Springer, 978-3-319-12059-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Amina Msolli, Abdelhamid Helali, Hassen Maaref |
Enhance resiliency to pool based key pre-distribution scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GSCIT ![In: Global Summit on Computer & Information Technology, GSCIT 2014, Sousse, Tunisia, June 14-16, 2014, pp. 1-4, 2014, IEEE, 978-1-4799-5626-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | James Fielding Smith, Teo A. Babun Jr. |
The port resiliency program (PReP): Upgrading Latin American and Caribbean ports. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCRAM ![In: 11th Proceedings of the International Conference on Information Systems for Crisis Response and Management, University Park, Pennsylvania, USA, May 18-21, 2014., 2014, ISCRAM Association, 978-0-692-21194-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
15 | Alefiya Hussain, Ted Faber, Robert Braden, Terry V. Benzel, Tim Yardley, Jeremy Jones, David M. Nicol, William H. Sanders, Thomas W. Edgar, Thomas E. Carroll, David O. Manz, Laura Tinnel |
Enabling Collaborative Research for Security and Resiliency of Energy Cyber Physical Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCOSS ![In: IEEE International Conference on Distributed Computing in Sensor Systems, DCOSS 2014, Marina Del Rey, CA, USA, May 26-28, 2014, pp. 358-360, 2014, IEEE Computer Society, 978-1-4799-4618-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Alexis Kwasinski |
Field technical surveys: An essential tool for improving critical infrastructure and lifeline systems resiliency to disasters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GHTC ![In: IEEE Global Humanitarian Technology Conference, GHTC 2014, San Jose, CA, USA, October 10-13, 2014, pp. 78-85, 2014, IEEE, 978-1-4799-7193-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | José Manuel Sánchez-Vílchez, Imen Grida Ben Yahia, Noël Crespi, Tinku Rasheed, Domenico Siracusa |
Softwarized 5G Networks Resiliency with Self-Healing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
5GU ![In: 1st International Conference on 5G for Ubiquitous Connectivity, 5GU 2014, Levi, Finland, November 26-27, 2014, pp. 229-233, 2014, ICST, 978-1-63190-055-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Michael Sievers, Azad M. Madni |
A flexible contracts approach to system resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: 2014 IEEE International Conference on Systems, Man, and Cybernetics, SMC 2014, San Diego, CA, USA, October 5-8, 2014, pp. 1002-1007, 2014, IEEE, 978-1-4799-3840-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Arijit Raychowdhury, Saad Bin Nasir, Samantak Gangopadhyay |
The role of adaptation and resiliency in computation and power management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014, pp. 74-79, 2014, IEEE, 978-1-4799-6277-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Raviraj Vaghani, Chung-Horng Lung |
A Comparison of Data Forwarding Schemes for Network Resiliency in Software Defined Networking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FNC/MobiSPC ![In: The 9th International Conference on Future Networks and Communications (FNC'14) / The 11th International Conference on Mobile Systems and Pervasive Computing (MobiSPC'14) / Affiliated Workshops, August 17-20, 2014, Niagara Falls, Canada, pp. 680-685, 2014, Elsevier. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Most Nahida Akter, A. B. M. Nasiruzzaman, Md. Apel Mahmud, Hemanshu Roy Pota |
Topological resiliency analysis of the Australian electricity grid with increased penetration of renewable resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014, pp. 494-497, 2014, IEEE, 978-1-4799-3431-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Bharat Garg, Nitesh K. Bharadwaj, G. K. Sharma 0001 |
Energy scalable approximate DCT architecture trading quality via boundary error-resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: 27th IEEE International System-on-Chip Conference, SOCC 2014, Las Vegas, NV, USA, September 2-5, 2014, pp. 306-311, 2014, IEEE, 978-1-4799-3378-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Yuyu Wang 0001, Keisuke Tanaka |
Generic Transformation to Strongly Existentially Unforgeable Signature Schemes with Leakage Resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ProvSec ![In: Provable Security - 8th International Conference, ProvSec 2014, Hong Kong, China, October 9-10, 2014. Proceedings, pp. 117-129, 2014, Springer, 978-3-319-12474-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Deborah J. Bodeau, Richard D. Graubart, Ellen R. Laderman |
Cyber Resiliency Engineering Overview of the Architectural Assessment Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSER ![In: Proceedings of the Conference on Systems Engineering Research, CSER 2014, Redondo Beach, CA, USA, March 20-22, 2014, pp. 838-847, 2014, Elsevier. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Danny Thebeau II, Benjamin Reidy, Ricardo Valerdi, Avinash Gudagi, Hemayamini Kurra, Youssif B. Al-Nashif, Salim Hariri, Frederick T. Sheldon |
Improving Cyber Resiliency of Cloud Application Services by Applying Software Behavior Encryption (SBE). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSER ![In: Proceedings of the Conference on Systems Engineering Research, CSER 2014, Redondo Beach, CA, USA, March 20-22, 2014, pp. 62-70, 2014, Elsevier. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | John C. Mace, Charles Morisset, Aad P. A. van Moorsel |
Quantitative Workflow Resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESORICS (1) ![In: Computer Security - ESORICS 2014 - 19th European Symposium on Research in Computer Security, Wroclaw, Poland, September 7-11, 2014. Proceedings, Part I, pp. 344-361, 2014, Springer, 978-3-319-11202-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Kevin Schneider |
Microgrids as a resiliency resource. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Chen-Yong Cher, K. Paul Muller, Ruud A. Haring, David L. Satterfield, Thomas E. Musta, Thomas Gooding, Kristan D. Davis, Marc Boris Dombrowa, Gerard V. Kopcsay, Robert M. Senger, Yutaka Sugawara, Krishnan Sugavanam |
Soft error resiliency characterization and improvement on IBM BlueGene/Q processor using accelerated proton irradiation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-6, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Mani Vadari |
Dynamic microgrids - A potential solution for enhanced resiliency in distribution systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Zhengzhang Chen, Seung Woo Son 0001, William Hendrix, Ankit Agrawal 0001, Wei-keng Liao, Alok N. Choudhary |
NUMARCK: Machine Learning Algorithm for Resiliency and Checkpointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: International Conference for High Performance Computing, Networking, Storage and Analysis, SC 2014, New Orleans, LA, USA, November 16-21, 2014, pp. 733-744, 2014, IEEE Computer Society, 978-1-4799-5500-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Chen-Yong Cher, Meeta Sharma Gupta, Pradip Bose, K. Paul Muller |
Understanding Soft Error Resiliency of Blue Gene/Q Compute Chip through Hardware Proton Irradiation and Software Fault Injection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: International Conference for High Performance Computing, Networking, Storage and Analysis, SC 2014, New Orleans, LA, USA, November 16-21, 2014, pp. 587-596, 2014, IEEE Computer Society, 978-1-4799-5500-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Siva Kumar Sastry Hari, Radha Venkatagiri, Sarita V. Adve, Helia Naeimi |
GangES: Gang error simulation for hardware resiliency evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: ACM/IEEE 41st International Symposium on Computer Architecture, ISCA 2014, Minneapolis, MN, USA, June 14-18, 2014, pp. 61-72, 2014, IEEE Computer Society, 978-1-4799-4396-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Nikil D. Dutt, Puneet Gupta 0001, Alex Nicolau, Abbas BanaiyanMofrad, Mark Gottscho, Majid Shoushtari |
Multi-Layer Memory Resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 48:1-48:6, 2014, ACM, 978-1-4503-2730-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
15 | Siva Kumar Sastry Hari, Sarita V. Adve, Helia Naeimi, Pradeep Ramachandran |
Relyzer: Application Resiliency Analyzer for Transient Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 33(3), pp. 58-66, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Rahul Ghosh, Dong Seong Kim 0001, Kishor S. Trivedi |
System resiliency quantification using non-state-space and state-space analytic models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Reliab. Eng. Syst. Saf. ![In: Reliab. Eng. Syst. Saf. 116, pp. 109-125, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Liat Ein-Dor, Yaara Goldschmidt, Ofer Lavi, G. E. Miller, Matan Ninio, Donna N. Dillenberger |
Analytics for resiliency in the mainframe. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IBM J. Res. Dev. ![In: IBM J. Res. Dev. 57(5), 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Hanan El Bakkali |
Enhancing Workflow Systems Resiliency by Using Delegation and Priority Concepts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Inf. Manag. ![In: J. Digit. Inf. Manag. 11(4), pp. 267-276, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
15 | Madjid Tavana, Dawn A. Trevisani, Jerry L. Dussault |
The Stability Model: An Interactive Framework for Measuring Robustness and Resiliency in Military Command and Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Inf. Technol. Proj. Manag. ![In: Int. J. Inf. Technol. Proj. Manag. 4(2), pp. 18-34, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Hamid Nabizadeh, Maghsoud Abbaspour |
IFRP: an intrusion/fault tolerant routing protocol for increasing resiliency and reliability in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Ad Hoc Ubiquitous Comput. ![In: Int. J. Ad Hoc Ubiquitous Comput. 14(1), pp. 52-69, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Kaushik Chakraborty 0001, Subhamoy Maitra |
Quantum algorithm to check Resiliency of a Boolean function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2013, pp. 232, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
15 | Andrew Newell, Daniel Obenshain, Thomas Tantillo, Cristina Nita-Rotaru, Yair Amir |
Increasing network resiliency by optimally assigning diverse variants to routing nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Budapest, Hungary, June 24-27, 2013, pp. 1-12, 2013, IEEE Computer Society, 978-1-4673-6471-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Pradeep M. Hettiarachchi, Nathan Fisher, Le Yi Wang |
Achieving Thermal-Resiliency for Multicore Hard-Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 25th Euromicro Conference on Real-Time Systems, ECRTS 2013, Paris, France, July 9-12, 2013, pp. 37-46, 2013, IEEE Computer Society, 978-0-7695-5054-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Tuo Li 0001, Muhammad Shafique 0001, Semeen Rehman, Swarnalatha Radhakrishnan, Roshan G. Ragel, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran |
CSER: HW/SW configurable soft-error resiliency for application specific instruction-set processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013, pp. 707-712, 2013, EDA Consortium San Jose, CA, USA / ACM DL, 978-1-4503-2153-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Alberto Leporati, Luca Mariot |
1-Resiliency of Bipermutive Cellular Automata Rules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Automata ![In: Cellular Automata and Discrete Complex Systems - 19th International Workshop, AUTOMATA 2013, Gießen, Germany, September 17-19, 2013. Proceedings, pp. 110-123, 2013, Springer, 978-3-642-40866-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Yoav Tock, Benjamin Mandler, José E. Moreira, Terry R. Jones |
Design and Implementation of a Scalable Membership Service for Supercomputer Resiliency-Aware Runtime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings, pp. 354-366, 2013, Springer, 978-3-642-40046-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Hitoshi Yabusaki, Masakuni Agetsuma, Hiroshi Nakagoe, Koichi Murayama, Hiroyuki Osaki, Masami Hiramatsu, Takatoshi Kato |
Flow Control for Higher Resiliency in Wide Area Distributed Cloud Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC Workshops ![In: IEEE 37th Annual Computer Software and Applications Conference, COMPSAC Workshops 2013, Kyoto, Japan, July 22-26, 2013, pp. 760-764, 2013, IEEE Computer Society, 978-1-4799-2159-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Chao Luo, Hiroyuki Okamura, Tadashi Dohi |
Characteristic analysis of quantitative definition of resiliency measure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE (Supplemental Proceedings) ![In: IEEE 24th International Symposium on Software Reliability Engineering, ISSRE 2013, Pasadena, CA, USA, November 4-7, 2013 - Supplemental Proceedings, pp. 11-12, 2013, IEEE Computer Society, 978-1-4799-2552-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Marcello Cinque, Antonio Coronato, Alessandro Testa, Catello Di Martino |
A survey on resiliency assessment techniques for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MOBIWAC ![In: MobiWac'13, Proceedings of the 11th ACM International Symposium on Mobility Management and Wireless Access, Barcelona, Spain, November 3-8, 2013, pp. 73-80, 2013, ACM, 978-1-4503-2355-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Jiajia Chen 0001 |
Efficient resiliency mechanisms for next generation passive optical networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICS ![In: 9th International Conference on Information, Communications & Signal Processing, ICICS 2013, Tainan, Taiwan, December 10-13, 2013, pp. 1-5, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Tuo Li 0001, Muhammad Shafique 0001, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran |
DHASER: dynamic heterogeneous adaptation for soft-error resiliency in ASIP-based multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013, pp. 646-653, 2013, IEEE, 978-1-4799-1069-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Georgios Karakonstantis, David Atienza, Andy Burg |
Exploiting application resiliency for energy-efficient and adequately-reliable operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 249, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Robert M. Patton, Chad A. Steed, Christopher G. Stahl, Jim N. Treadwell |
Observing Community Resiliency in Social Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (5) ![In: Computational Science and Its Applications - ICCSA 2013 - 13th International Conference, Ho Chi Minh City, Vietnam, June 24-27, 2013, Proceedings, Part V, pp. 491-501, 2013, Springer, 978-3-642-39639-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Peter Tröger |
Taming the beast some thoughts on exascale resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2013, Helsinki, Finland, July 1-5, 2013, pp. 556-557, 2013, IEEE, 978-1-4799-0836-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | P. Balasubramanian 0001, Shigeru Yamashita |
On the Error Resiliency of Combinational Logic Cells - Implications for Nano-based Digital Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: IEEE 19th Pacific Rim International Symposium on Dependable Computing, PRDC 2013, Vancouver, BC, Canada, December 2-4, 2013, pp. 118-119, 2013, IEEE Computer Society, 978-0-7695-5130-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Sahel Sahhaf, Wouter Tavernier, Didier Colle, Mario Pickavet, Piet Demeester |
Single failure resiliency in greedy routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DRCN ![In: 9th International Conference on the Design of Reliable Communication Networks, DRCN 2013, Budapest, Hungary, March 4-7, 2013, pp. 306-313, 2013, IEEE, 978-1-4799-0049-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
15 | Saurabh Hukerikar, Pedro C. Diniz, Robert F. Lucas |
Robust graph traversal: Resiliency techniques for data intensive supercomputing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPEC ![In: IEEE High Performance Extreme Computing Conference, HPEC 2013, Waltham, MA, USA, September 10-12, 2013, pp. 1-6, 2013, IEEE, 978-1-4799-1365-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Toshikazu Sakano, Satoshi Kotabe, Katsuhiro Sebayashi, Tetsuro Komukai, Atsushi Takahara |
Improvement of Network/Service Resiliency with a Movable and Deployable ICT Resource Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SITIS ![In: Ninth International Conference on Signal-Image Technology & Internet-Based Systems, SITIS 2013, Kyoto, Japan, December 2-5, 2013, pp. 883-888, 2013, IEEE Computer Society, 978-1-4799-3211-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Shingo Yasuda, Kunio Akashi, Toshiyuki Miyachi, Razvan Beuran, Yoshiki Makino, Tomoya Inoue, Shinsuke Miwa, Yoichi Shinoda |
Emulation-Based ICT System Resiliency Verification for Disaster Situations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SITIS ![In: Ninth International Conference on Signal-Image Technology & Internet-Based Systems, SITIS 2013, Kyoto, Japan, December 2-5, 2013, pp. 875-882, 2013, IEEE Computer Society, 978-1-4799-3211-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Tuo Li 0001, Muhammad Shafique 0001, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, Sri Parameswaran |
RASTER: runtime adaptive spatial/temporal error resiliency for embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 62:1-62:7, 2013, ACM, 978-1-4503-2071-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
15 | Ochirkhand Erdene-Ochir |
Résilience et application aux protocoles de routage dans les réseaux de capteurs. (Resiliency and application to routing protocols in wireless sensor networks). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
15 | Laurentiu Trifan |
Resiliency in Distributed Workflow Systems for Numerical Applications. (Résilience dans les Systèmes de Workflow Distribués pour les Applications d'Optimisation Numérique). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
15 | Tuo Li 0001 |
Cost-Efficient Soft-Error Resiliency for ASIP-based Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
15 | Ismail Amin Ali, Sandro Moiron, Martin Fleury, Mohammed Ghanbari 0001 |
Congestion Resiliency for Data-Partitioned H.264/AVC Video Streaming Over IEEE 802.11e Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Handheld Comput. Res. ![In: Int. J. Handheld Comput. Res. 3(1), pp. 55-73, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Byoung Uk Kim |
Data flow analysis for anomaly detection and identification toward resiliency in extreme scale systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 61(1), pp. 6-26, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Rao Mikkilineni |
Architectural Resiliency in Distributed Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Grid High Perform. Comput. ![In: Int. J. Grid High Perform. Comput. 4(4), pp. 37-51, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Ochirkhand Erdene-Ochir, Apostolos A. Kountouris, Marine Minier, Fabrice Valois |
A New Metric to Quantify Resiliency in Networking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Commun. Lett. ![In: IEEE Commun. Lett. 16(10), pp. 1699-1702, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Homa Alemzadeh, Catello Di Martino, Zhanpeng Jin, Zbigniew Kalbarczyk, Ravishankar K. Iyer |
Towards resiliency in embedded medical monitoring devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN Workshops ![In: IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, DSN 2012, Boston, MA, USA, June 25-28, 2012, pp. 1-6, 2012, IEEE Computer Society, 978-1-4673-2264-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Kuan-Yu Tseng, Daniel Chen 0001, Zbigniew Kalbarczyk, Ravishankar K. Iyer |
Characterization of the error resiliency of power grid substation devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2012, Boston, MA, USA, June 25-28, 2012, pp. 1-8, 2012, IEEE Computer Society, 978-1-4673-1624-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Andrea Pellegrini, Robert Smolinski, Lei Chen, Xin Fu, Siva Kumar Sastry Hari, Junhao Jiang, Sarita V. Adve, Todd M. Austin, Valeria Bertacco |
CrashTest'ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 1106-1109, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Muhammad Shafique 0001, Bruno Zatt, Semeen Rehman, Florian Kriebel, Jörg Henkel |
Power-efficient error-resiliency for H.264/AVC Context-Adaptive Variable Length Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 697-702, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Jeremy Abramson, Pedro C. Diniz |
Resiliency-aware Scheduling for reconfigurable VLIW processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012, pp. 1-7, 2012, IEEE, 978-1-4673-2919-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Eric P. Kim, Naresh R. Shanbhag |
Energy-Efficient LDPC Decoders Based on Error-Resiliency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: 2012 IEEE Workshop on Signal Processing Systems, Quebec City, QC, Canada, October 17-19, 2012, pp. 149-154, 2012, IEEE, 978-1-4673-2986-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Rao Mikkilineni, Albert Comparini, Giovanni Morana |
The Turing O-Machine and the DIME Network Architecture: Injecting the Architectural Resiliency into Distributed Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Turing-100 ![In: Turing-100 - The Alan Turing Centenary, Manchester, UK, June 22-25, 2012, pp. 239-251, 2012, EasyChair. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Jeremy Abramson, Pedro C. Diniz |
A resiliency-aware scheduling approach for FPGA configuration: Preliminary results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012, pp. 471-472, 2012, IEEE, 978-1-4673-2257-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Anas AlMajali, Arun Viswanathan, Clifford Neuman |
Analyzing Resiliency of the Smart Grid Communication Architectures under Cyber Attack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSET ![In: 5th Workshop on Cyber Security Experimentation and Test, CSET '12, Bellevue, WA, USA, August 6, 2012, 2012, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
15 | Jeffery E. Dagle |
Achieving resiliency by eliminating common mode failures in the smart grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISGT ![In: IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2012, Washington, DC, USA, January 16-20, 2012, pp. 1-2, 2012, IEEE, 978-1-4577-2158-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Nageswara S. V. Rao |
Resiliency in Exascale Systems and Computations Using Chaotic-Identity Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops ![In: Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers, pp. 494-495, 2012, Springer, 978-3-642-36948-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Stephen L. Scott, Chokchai Leangsuksun |
Workshop on Resiliency in High Performance Computing (Resilience) in Clusters, Clouds, and Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops ![In: Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers, pp. 461-462, 2012, Springer, 978-3-642-36948-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Xu Huang 0001, Dharmendra Sharma 0001 |
Resiliency Controlling of Wireless Sensor Networks for the Protecting from Internal Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012, pp. 1256-1262, 2012, IEEE Computer Society, 978-1-4673-0974-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Jeremy Abramson, Pedro C. Diniz |
Resiliency-aware scheduling: Resource allocation for hardened computation on configurable devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: 2012 International Conference on Field-Programmable Technology, FPT 2012, Seoul, Korea (South), December 10-12, 2012, pp. 129-134, 2012, IEEE, 978-1-4673-2846-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Robert Pawlowski, Evgeni Krimer, Joseph Crop, Jacob Postman, Nariman Moezzi Madani, Mattan Erez, Patrick Chiang 0001 |
A 530mV 10-lane SIMD processor with variation resiliency in 45nm SOI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012, pp. 492-494, 2012, IEEE, 978-1-4673-0376-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Valentina Salapura |
Cloud computing: Virtualization and resiliency for data center computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012, pp. 1-2, 2012, IEEE Computer Society, 978-1-4673-3051-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Srinivas Karthik, Sreyash Kenkre, Krishnasuri Narayanam, Vinayaka Pandit |
Resiliency Analytics Framework for Service Delivery Organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRII Global Conference ![In: 2012 Annual SRII Global Conference, San Jose, CA, USA, July 24-27, 2012, pp. 672-681, 2012, IEEE Computer Society, 978-1-4673-2318-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Xu Huang 0001, Dharmendra Sharma 0001, Muhammad Ahmed 0004 |
Security Computing for the Resiliency of Protecting from Internal Attacks in Distributed Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP (1) ![In: Algorithms and Architectures for Parallel Processing - 12th International Conference, ICA3PP 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part I, pp. 16-29, 2012, Springer, 978-3-642-33077-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Hans Jochen Scholl, Beth J. Patin, Akemi Takeoka Chatfield |
ICT-Enabled City Government Field Operations: Resiliency During Extreme Events. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 45th Hawaii International International Conference on Systems Science (HICSS-45 2012), Proceedings, 4-7 January 2012, Grand Wailea, Maui, HI, USA, pp. 2346-2356, 2012, IEEE Computer Society, 978-0-7695-4525-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Mark H. Burstein, Robert P. Goldman, Paul Robertson 0001, Robert Laddaga, Robert Balzer, Neil M. Goldman, Christopher W. Geib, Ugur Kuter, David D. McDonald, John Maraist, Peter Keller, David S. Wile |
STRATUS: Strategic and Tactical Resiliency against Threats to Ubiquitous Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SASO Workshops ![In: Sixth IEEE International Conference on Self-Adaptive and Self-Organizing Systems Workshops, SASOW 2012, Lyon, France, September 10-14, 2012, pp. 47-54, 2012, IEEE Computer Society, 978-1-4673-5153-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | James J. Moore, Carl Kesselman |
A resiliency model for high performance infrastructure based on logical encapsulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: The 21st International Symposium on High-Performance Parallel and Distributed Computing, HPDC'12, Delft, Netherlands - June 18 - 22, 2012, pp. 283-294, 2012, ACM, 978-1-4503-0805-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Bo Fang, Jiesheng Wei, Karthik Pattabiraman, Matei Ripeanu |
Poster: Evaluating Error Resiliency of GPGPU Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC Companion ![In: 2012 SC Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012, pp. 1504, 2012, IEEE Computer Society, 978-1-4673-6218-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Bo Fang, Jiesheng Wei, Karthik Pattabiraman, Matei Ripeanu |
Abstract: Evaluating Error Resiliency of GPGPU Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC Companion ![In: 2012 SC Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012, pp. 1502-1503, 2012, IEEE Computer Society, 978-1-4673-6218-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Suzanne Hassell, Paul Beraud, Alen Cruz, Gangadhar Ganga, Steve Martin 0002, Justin Toennies, Pablo Vazquez, Gary Wright, Daniel Gomez, Frank Pietryka, Niraj Srivastava, Travis Hester, David Hyde 0002, Brian Mastropietro |
Evaluating network cyber resiliency methods using cyber threat, Vulnerability and Defense Modeling and Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MILCOM ![In: 31st IEEE Military Communications Conference, MILCOM 2012, Orlando, FL, USA, October 29 - November 1, 2012, pp. 1-6, 2012, IEEE, 978-1-4673-1729-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Evgeni Krimer, Patrick Chiang 0001, Mattan Erez |
Lane decoupling for improving the timing-error resiliency of wide-SIMD architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 39th International Symposium on Computer Architecture (ISCA 2012), June 9-13, 2012, Portland, OR, USA, pp. 237-248, 2012, IEEE Computer Society, 978-1-4673-0475-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Ochirkhand Erdene-Ochir, Marine Minier, Fabrice Valois, Apostolos A. Kountouris |
Resiliency taxonomy of routing protocols in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 37th Annual IEEE Conference on Local Computer Networks, Clearwater Beach, FL, USA, October 22-25, 2012, pp. 324-327, 2012, IEEE Computer Society, 978-1-4673-1565-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Siva Kumar Sastry Hari, Sarita V. Adve, Helia Naeimi, Pradeep Ramachandran |
Relyzer: exploiting application-level fault equivalence to analyze application resiliency to transient faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2012, London, UK, March 3-7, 2012, pp. 123-134, 2012, ACM, 978-1-4503-0759-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Rao Mikkilineni, Giovanni Morana |
Injecting the Architectural Resiliency into Distributed Autonomic Systems Using DIME Network Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: Sixth International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS 2012, Palermo, Italy, July 4-6, 2012, pp. 867-872, 2012, IEEE Computer Society, 978-1-4673-1233-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
15 | Wei-Hong Chuang |
Resiliency Assessment and Enhancement of Intrinsic Fingerprinting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2012 |
RDF |
|
15 | Jens Buysse, Marc De Leenheer, Bart Dhoedt, Chris Develder |
Providing resiliency for optical grids by exploiting relocation: A dimensioning study based on ILP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. ![In: Comput. Commun. 34(12), pp. 1389-1398, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Madjid Tavana, Timothy E. Busch, Eleanor L. Davis |
Fuzzy Multiple Criteria Workflow Robustness and Resiliency Modeling with Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Knowl. Based Organ. ![In: Int. J. Knowl. Based Organ. 1(4), pp. 72-90, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Madjid Tavana, Timothy E. Busch, Eleanor L. Davis |
Modeling Operational Robustness and Resiliency with High-Level Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Knowl. Based Organ. ![In: Int. J. Knowl. Based Organ. 1(2), pp. 17-38, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Matthias Böhm 0001, Wolfgang Lehner, Christof Fetzer |
Resiliency-Aware Data Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. VLDB Endow. ![In: Proc. VLDB Endow. 4(12), pp. 1462-1465, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
15 | Alexander Nikssarian |
The banking and finance sector: new paradigms of resiliency and risk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Crit. Infrastructures ![In: Int. J. Crit. Infrastructures 7(1), pp. 50-57, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Murat Ergun, Albert Levi, Erkay Savas |
Increasing Resiliency in Multi-phase Wireless Sensor Networks: Generationwise Key Predistribution Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 54(4), pp. 602-616, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Pradipta De, Sambuddha Roy |
VMSpreader: Multi-tier application resiliency through virtual machine striping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integrated Network Management ![In: Proceedings of the 12th IFIP/IEEE International Symposium on Integrated Network Management, IM 2011, Dublin, Ireland, 23-27 May 2011, pp. 185-192, 2011, IEEE, 978-1-4244-9221-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Hu Chen, Eckehard G. Steinbach, Chang Wen Chen |
A comparison of the error resiliency of bit-plane based and symbol based pixel-domain distributed video coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 18th IEEE International Conference on Image Processing, ICIP 2011, Brussels, Belgium, September 11-14, 2011, pp. 1809-1812, 2011, IEEE, 978-1-4577-1304-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Sandro Moiron, Mohammed Ghanbari 0001 |
Enhanced error resiliency for video with cyclic intra-refresh lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 18th IEEE International Conference on Image Processing, ICIP 2011, Brussels, Belgium, September 11-14, 2011, pp. 3229-3232, 2011, IEEE, 978-1-4577-1304-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Osman Yagan, Armand M. Makowski |
On the resiliency of sensor networks under the pairwise key distribution scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: IEEE 22nd International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2011, Toronto, ON, Canada, September 11-14, 2011, pp. 1218-1222, 2011, IEEE, 978-1-4577-1346-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Vimmi Jaiswal, Aritra Sen, Akshat Verma |
RSCMap: Resiliency Planning in Storage Clouds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOC ![In: Service-Oriented Computing - 9th International Conference, ICSOC 2011, Paphos, Cyprus, December 5-8, 2011 Proceedings, pp. 505-512, 2011, Springer, 978-3-642-25534-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Jun Furuta, Chikara Hamanaka, Kazutoshi Kobayashi, Hidetoshi Onodera |
A 65nm flip-flop array to measure soft error resiliency against high-energy neutron and alpha particles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 16th Asia South Pacific Design Automation Conference, ASP-DAC 2011, Yokohama, Japan, January 25-27, 2011, pp. 83-84, 2011, IEEE, 978-1-4244-7516-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
15 | Michael Chlistalla |
From minutes to seconds and beyond: measuring order-book resiliency in fragmented electronic securities markets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECIS ![In: 19th European Conference on Information Systems, ECIS 2011, Helsinki, Finland, June 9-11, 2011, pp. 94, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
15 | Daniel Philip Venmani, Yvon Gourhant, Djamal Zeghlache |
Preliminary Analysis of 4G-LTE Mobile Network Sharing for Improving Resiliency and Operator Differentiation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICeND ![In: e-Technologies and Networks for Development - First International Conference, ICeND 2011, Dar-es-Salaam, Tanzania, August 3-5, 2011. Proceedings, pp. 73-93, 2011, Springer, 978-3-642-22728-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
Displaying result #701 - #800 of 1051 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|