The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for asynchronous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (15) 1965-1967 (17) 1968-1969 (23) 1970-1971 (33) 1972-1973 (20) 1974 (19) 1975-1976 (23) 1977-1978 (32) 1979-1980 (21) 1981-1982 (29) 1983 (21) 1984 (17) 1985 (30) 1986 (38) 1987 (50) 1988 (71) 1989 (85) 1990 (97) 1991 (100) 1992 (157) 1993 (167) 1994 (220) 1995 (311) 1996 (289) 1997 (312) 1998 (301) 1999 (369) 2000 (399) 2001 (450) 2002 (521) 2003 (542) 2004 (602) 2005 (737) 2006 (788) 2007 (858) 2008 (774) 2009 (663) 2010 (531) 2011 (474) 2012 (476) 2013 (557) 2014 (495) 2015 (523) 2016 (582) 2017 (601) 2018 (629) 2019 (735) 2020 (685) 2021 (768) 2022 (782) 2023 (880) 2024 (229)
Publication types (Num. hits)
article(7130) book(16) data(2) incollection(46) inproceedings(10730) phdthesis(190) proceedings(34)
Venues (Conferences, Journals, ...)
CoRR(1205) ASYNC(594) IEEE Trans. Computers(175) ISCAS(163) IEEE Trans. Commun.(149) PODC(147) IEEE Access(139) ICC(130) IEEE Trans. Wirel. Commun.(130) IPDPS(121) IACR Cryptol. ePrint Arch.(115) GLOBECOM(111) DISC(109) HICSS(104) IEEE Trans. Parallel Distribut...(103) ICASSP(102) More (+10 of total 3075)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9115 occurrences of 3515 keywords

Results
Found 18148 publication records. Showing 18148 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Naoya Onizawa, Akira Mochizuki, Takahiro Hanyu Multiple-Valued Duplex Asynchronous Data Transfer Scheme for Interleaving in LDPC Decoders. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Einar Broch Johnsen, Olaf Owe Inheritance in the Presence of Asynchronous Method Calls. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Bogdan S. Chlebus, Dariusz R. Kowalski Cooperative asynchronous update of shared memory. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser
19Christian Cachin, Stefano Tessaro Asynchronous Veri.able Information Dispersal. Search on Bibsonomy SRDS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Andrew Lines Asynchronous Interconnect for Synchronous SoC Design. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ivan Blunno, Luciano Lavagno Designing an asynchronous microcontroller using Pipefitter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19José Manuel Colmenar, Oscar Garnica, Sonia López, José Ignacio Hidalgo, Juan Lanchares, Román Hermida Empirical Characterization of the Latency of Long Asynchronous Pipelines with Data-Dependent Module Delays. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Rodolfo Pellizzoni, Giuseppe Lipari A New Sufficient Feasibility Test for Asynchronous Real-Time Periodic Task Sets. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Frank P. Burns, Delong Shang, Albert Koelmans, Alexandre Yakovlev An Asynchronous Synthesis Toolset Using Verilog. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Aristides Efthymiou, Christos P. Sotiriou, Douglas A. Edwards Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mohammad Reza Mousavi 0001, Paul Le Guernic, Jean-Pierre Talpin, Sandeep K. Shukla, Twan Basten Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sune Fallgaard Nielsen, Jens Sparsø, Jan Madsen Towards Behavioral Synthesis of Asynchronous Circuits - An Implementation Template Targeting Syntax Directed Compilation. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Peter D. Hyde, G. Russell ASSEC: An Asynchronous Self-Checking RISC-based Processor. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Xin Jia, Jayanthi Rajagopalan, Ranga Vemuri A Dynamically Reconfigurable Asynchronous FPGA Architecture. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Dawid Kurzyniec, Vaidy S. Sunderam Semantic Aspects of Asynchronous RMI: The RMIX Approach. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Bartosz Przydatek, Reto Strobl Asynchronous Proactive Cryptosystems Without Agreement (Extended Abstract). Search on Bibsonomy ASIACRYPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Abhishek Sharma, Azer Bestavros, Ibrahim Matta Performance Evaluation of Distributed Prefetching for Asynchronous Multicast in P2P Networks. Search on Bibsonomy WCW The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Masayuki Tsukisaka, Masashi Imai, Takashi Nanya Asynchronous Scan-Latch controller for Low Area Overhead DFT. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Peter D. Hyde, G. Russell A Comparative Study of the Design of Synchronous and Asynchronous Self-Checking RISC Processors. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Martin Berger 0001 Basic Theory of Reduction Congruence forTwo Timed Asynchronous pi-Calculi. Search on Bibsonomy CONCUR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Nithya N. Vijayakumar, Beth Plale Performance Evaluation of Rate-Based Join Window Sizing for Asynchronous Data Streams. Search on Bibsonomy HPDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Matthew L. King, Kewal K. Saluja Testing Micropipelined Asynchronous Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mirco Musolesi, Cecilia Mascolo, Stephen Hailes Adapting asynchronous messaging middleware to ad hoc networking. Search on Bibsonomy Middleware for Pervasive and Ad-hoc Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mobile ad hoc networks, context, message oriented middleware, epidemic protocol, middleware for mobile computing
19Susumu Adachi, Ferdinand Peper, Jia Lee Universality of Hexagonal Asynchronous Totalistic Cellular Automata. Search on Bibsonomy ACRI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Rudolf Freund Asynchronous P Systems and P Systems Working in the Sequential Mode. Search on Bibsonomy Workshop on Membrane Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19John Teifel, Rajit Manohar Static Tokens: Using Dataflow to Automate Concurrent Pipeline Synthesis. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Rostislav (Reuven) Dobkin, Ran Ginosar, Christos P. Sotiriou Data Synchronization Issues in GALS SoCs. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19T. Felicijan, Stephen B. Furber An asynchronous ternary logic signaling system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19James Aspnes Randomized protocols for asynchronous consensus. Search on Bibsonomy Distributed Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Randomization, Consensus, Agreement, Asynchrony
19Tom Altman, Yoshihide Igarashi, Michiko Omori A Turn Function Scheme Realized in the Asynchronous Single-Writer/Multi-reader Shared Memory Model. Search on Bibsonomy ISAAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Philippe Maurine, Jean-Baptiste Rigaud, G. Fraidy Bouesse, Gilles Sicard, Marc Renaudin Statistic Implementation of QDI Asynchronous Primitives. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Byung-Soo Choi, Dong-Ik Lee Frequent Value Cache for Low-Power Asynchronous Dual-Rail Bus. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19João Leonardo Fragoso, Gilles Sicard, Marc Renaudin Power/Area Tradeoffs in 1-of-M Parallel-Prefix Asynchronous Adders. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Uwe Zdun, Markus Völter, Michael Kircher Design and Implementation of an Asynchronous Invocation Framework for Web Services. Search on Bibsonomy ICWS-Europe The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19João Leonardo Fragoso, Gilles Sicard, Marc Renaudin Automatic Generation of 1-of-M QDI Asynchronous Adders. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Francesco Quaglia, Andrea Santoro CCL v3.0: Multiprogrammed Semi-Asynchronous Checkpoints. Search on Bibsonomy PADS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sung-Hoon Park A Probabilistically Correct Election Protocol in Asynchronous Distributed Systems. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Kiyoshi Oguri, Yuichiro Shibata, Akira Nagoya Asynchronous Bit-Serial Datapath for Object-Oriented Reconfigurable Architecture PCA. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Curtis A. Nelson, Chris J. Myers, Tomohiro Yoneda Efficient Verification of Hazard-Freedom in Gate-Level Timed Asynchronous Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Mario Giacobini, Enrique Alba 0001, Marco Tomassini Selection Intensity in Asynchronous Cellular Evolutionary Algorithms. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sung-Hoon Park About the Relationship between Election Problem and Failure Detector in Asynchronous Distributed Systems. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Dariusz R. Kowalski, Alexander A. Shvartsman Performing work with asynchronous processors: message-delay-sensitive bounds. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Christian Cachin An asynchronous protocol for distributed computation of RSA inverses and its applications. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF verifiable random functions, Cryptography, Byzantine agreement, threshold signatures, verifiable secret sharing
19Kuo-Hsing Cheng, Yang-Han Lee, Wei-Chun Chang A new robust handshake for asymmetric asynchronous micro-pipelines. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Dominique Borrione, Menouer Boubekeur, Emil Dumitrescu, Marc Renaudin, Jean-Baptiste Rigaud, Antoine Siriani An Approach to the Introduction of Formal Validation in an Asynchronous Circuit Design Flow. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jehn-Ruey Jiang, Yu-Chee Tseng, Chih-Shun Hsu, Ten-Hwang Lai Quorum-Based Asynchronous Power-Saving Protocols for IEEE 802.11 Ad Hoc Networks. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Kuo-Hsing Cheng, Wei-Chun Chang, Chia Ming Tu A Robust Handshake for Asynchronous System. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Danny Weyns, Tom Holvoet Synchronous versus asynchronous collaboration in situated multi-agent systems. Search on Bibsonomy AAMAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF collaboration, interaction, synchronization
19Alex Kondratyev, Kelvin Lwin Design of Asynchronous Circuits Using Synchronous CAD Tools. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Juha Plosila, Tiberiu Seceleanu Specification of an Asynchronous On-chip Bus. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Mohammed Es Salhiene, Laurent Fesquet, Marc Renaudin Dynamic Voltage Scheduling for Real Time Asynchronous Systems. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Enrique Alba 0001, Mario Giacobini, Marco Tomassini, Sergio Romero 0002 Comparing Synchronous and Asynchronous Cellular Genetic Algorithms. Search on Bibsonomy PPSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Peter A. Beerel, Aiguo Xie Performance Analysis of Asynchronous Circuits Using Markov Chains. Search on Bibsonomy Concurrency and Hardware Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Rajit Manohar Scalable formal design methods for asynchronous VLSI. Search on Bibsonomy POPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Ivan Blunno, Luciano Lavagno Designing an Asynchronous Microcontroller Using Pipefitter. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Sung-Hoon Park Analysis of an Election Problem for CSCW in Asynchronous Distributed Systems. Search on Bibsonomy EDCIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Thomas Verdel, Yiorgos Makris Duplication-Based Concurrent Error Detection in Asynchronous Circuits: Shortcomings and Remedies. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Peter A. Beerel Asynchronous Circuits: An Increasingly Practical Design Solution (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Sung-Hoon Park The Weakest Failure Detector for Solving Election Problems in Asynchronous Distributed Systems. Search on Bibsonomy EurAsia-ICT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Meine van der Meulen Model Checking the Design of an Unrestricted, Stuck-at Fault Tolerant, Asynchronous Sequential Circuit Using SMV. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Chrystopher L. Nehaniv Self-Reproduction in Asynchronous Cellular Automata. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Anoop Iyer, Diana Marculescu Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Peter Henderson 0001 Reasoning about Asynchronous Behaviour in Distributed Systems. Search on Bibsonomy ICECCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Alex Kondratyev, Kelvin Lwin Design of asynchronous circuits by synchronous CAD tools. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Rajit Manohar, Clinton Kelly IV, John Teifel, David Fang, David Biermann Energy-Efficient Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-time metrics, pipeline dynamics, low-power design
19Sumit Ghosh P2EDAS: Asynchronous, Distributed Event Driven Simulation Algorithm with Inconsistent Event Preemption for Accurate Execution of VHDL Descriptions on Parallel Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulation of hardware descriptions, inertial delays, descheduling, anticipatory scheduling, preemption of inconsistent events, parallel processing, VLSI, distributed algorithms, discrete event simulation, VHDL, Digital simulation, logic simulation, event driven simulation, timing semantics
19Ross Smith, Michiel M. Ligthart High-level design for asynchronous logic. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Andrea Santoro, Francesco Quaglia Communications and network: benefits from semi-asynchronous checkpointing for time warp simulations of a large state PCS model. Search on Bibsonomy WSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Simon Marlow, Simon L. Peyton Jones, Andrew Moran, John H. Reppy Asynchronous Exceptions in Haskell. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Haskell
19Starr Roxanne Hiltz, Jerry Fjermestad Introduction to the Asynchronous Learning Networks Mini-Track. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Christian Cachin, Klaus Kursawe, Frank Petzold, Victor Shoup Secure and Efficient Asynchronous Broadcast Protocols. Search on Bibsonomy CRYPTO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19David Kinniment, Alexandre Yakovlev, B. Gao Synchronous and asynchronous A-D conversion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Paola Quaglia, David Walker 0008 On Synchronous and Asynchronous Mobile Processes. Search on Bibsonomy FoSSaCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Oltea Mihaela Herescu, Catuscia Palamidessi Probabilistic Asynchronous pi-Calculus. Search on Bibsonomy FoSSaCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Kenji Matsuura, Hiroaki Ogata, Yoneo Yano Agent's Contribution for an Asynchronous Virtual Classroom. Search on Bibsonomy Intelligent Tutoring Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Jean-Pierre Krimm, Laurent Mounier Compositional State Space Generation with Partial Order Reductions for Asynchronous Communicating Systems. Search on Bibsonomy TACAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Simon W. Moore, George S. Taylor, Paul A. Cunningham, Robert D. Mullins, Peter Robinson 0001 Self-Calibrating Clocks for Globally Asynchronous Locally Synchronous Systems. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Ganesh Gopalakrishnan, Prabhakar Kudva, Erik Brunvand Peephole optimization of asynchronous macromodule networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Larry S. Jackson, Ed Grossman Integration of Synchronous and Asynchronous Collaboration Activities. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Walter Vogler Concurrent Implementation of Asynchronous Transition Systems. Search on Bibsonomy ICATPN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Byung-Soo Choi, Dong-Wook Lee, Dong-Ik Lee The Design of Delay Insensitive Asynchronous 16-bit Microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Andrea Fumagalli, Roberto Grasso An Efficient Asynchronous Simulation Technique for High Speed Slotted Networks. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF slotted network, Photonic Slot Routing, Wavelength Division Multiplexing, event driven simulation
19Mauro Gaspari, Gianluigi Zavattaro Process Algebraic Specification of the New Asynchronous CORBA Messaging Service. Search on Bibsonomy ECOOP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Jordi Cortadella, Michael Kishinevsky, Steven M. Burns, Ken S. Stevens Synthesis of asynchronous control circuits with automatically generated relative timing assumptions. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Robert M. Fuhrer, Steven M. Nowick OPTIMISTA: state minimization of asynchronous FSMs for optimum output logic. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19J. O. Dedou, Daniel Chillet, Olivier Sentieys Behavioral synthesis of asynchronous systems: a methodology. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Patrick P. Cao, Frada Burstein An Asynchronous Group Decision Support System Study for Intelligent Multicriteria Decision Making. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Angelos Bilas, Cheng Liao, Jaswinder Pal Singh Using Network Interface Support to Avoid Asynchronous Protocol Processing in Shared Virtual Memory Systems. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Raquel Benbunan-Fich, Starr Roxanne Hiltz Learning Effects of Asynchronous Learning Networks: A Comparision of Groups and Individuals Solving Ethical Case Scenarios. Search on Bibsonomy HICSS (1) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Michael Kishinevsky, Jordi Cortadella, Alex Kondratyev Asynchronous Interface Specification, Analysis and Synthesis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Jürgen Teich, Lothar Thiele, Sundararajan Sriram, Michael Martin 0002 Performance analysis and optimization of mixed asynchronous synchronous systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Yasunori Nagata, Masao Mukaidono Design of an Asynchronous Digital System with B-Ternary Logic. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Martin Benes 0002, Andrew Wolfe, Steven M. Nowick A High-Speed Asynchronous Decompression Circuit for Embedded Processors. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19D. J. Kinniment An evaluation of asynchronous addition. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Phillip B. Gibbons, Yossi Matias, Vijaya Ramachandran The Queue-Read Queue-Write Asynchronous PRAM Model. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Wei Shu, Min-You Wu Asynchronous Problems on SIMD Parallel Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SIMD parallel computers, portable programming environment, irregular and dynamic applications, scalability, load balancing, thread model
19Ganesh Gopalakrishnan, Erik Brunvand, Nick Michell, Steven M. Nowick A correctness criterion for asynchronous circuit validation and optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Verification of asynchronous interface circuits with bounded wire delays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Cho W. Moon, Paul R. Stephan, Robert K. Brayton Specification, synthesis, and verification of hazard-free asynchronous circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 18148 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license