The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Leonardo Bruno de Sá, Maurício Henrique Costa Dias, Antoine Dupret, Antonio Carneiro de Mesquita Filho A 99.95% linearity readout circuit with 72 dB dynamic range for active pixel sensors. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Weiguo Lu, Shidong Yan, Yidi Yang, Huimin Fang Transfer function-matched capacitor-current sensing and its circuit implementation for high-frequency power converters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yongbo Sui, Yigang He, Wenxin Yu, Yan Li Design and circuit implementation of a five-dimensional hyperchaotic system with linear parameter. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yimin Lu, Qianqian Liang, Xianfeng Huang Parameters self-tuning PID controller circuit with memristors. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Ilias Chlis, Domenico Pepe, Domenico Zito Transformer-coupled π-network differential CMOS oscillator circuit topology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Giuseppe Fontana 0001 Revisited generalized substitution theorem and its consequences for circuit analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Antonino M. Sommariva State of circuit components in the behavioral framework. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fu-Sheng Pai, Pao-Shing Tseng Sag correction and power transfer of double feeder circuit using unified power quality conditioner. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Azim Farghadan, Naser MohammadZadeh Quantum circuit physical design flow for 2D nearest-neighbor architectures. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Torsten Djurhuus, Viktor Krozer Numerical analysis of stochastic resonance in a bistable circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Albert Mráz, Zsolt Kis, Sándor Imre, Laszlo Gyongyosi, László Bacsárdi Quantum circuit-based modeling of continuous-variable quantum key distribution system. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17H. V. Jayashree, Skanda Kotethota, Vinod Kumar Agrawal Reversible circuit design for GCD computation in cryptography algorithms. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Giovanni Miano, Carlo Forestiere, Amir Boag, Gregory Slepyan, Antonio Maffucci On small signal equivalent circuit models for quantum dots. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Dibyendu Chatterjee, Arijit Roy 0001 Multiple data access via a common cavity bus in circuit QED. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vilma A. Oliveira, Ricardo Alzate, Shankar P. Bhattacharyya A measurement-based approach with accuracy evaluation and its applications to circuit analysis and synthesis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hiroyuki Asahara, Soumitro Banerjee, Takuji Kousaka Relationship of fast-scale and slow-scale instabilities in switching circuit with multiple inputs. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Syed Arsalan Jawed, Sohaib Saadat Afridi, Muhammad Arslan Anjum, Khubaib Khan IO circuit design for 2.5D through-silicon-interposer interconnects. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Giuseppe Ferri, Vincenzo Stornelli, Francesca Romana Parente, Gianluca Barile Full range analog Wheatstone bridge-based automatic circuit for differential capacitance sensor evaluation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fardin Derogarian, João Canas Ferreira, Vítor Grade Tavares A small fully digital open-loop clock and data recovery circuit for wired BANs. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Anup Kumar Panda, Shiva Sarode, Ramesh Tejavathu A novel active auxiliary circuit for efficiency enhancement integrated with synchronous buck converter. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ibtisam A. Abbas Al-Darkazly, S. M. Rezaul Hasan A waveform generator circuit for extra low-frequency CMOS micro-power applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Piedad Brox, Macarena C. Martínez-Rodríguez, Erica Tena-Sánchez, Iluminada Baturone, Antonio J. Acosta 0001 Application specific integrated circuit solution for multi-input multi-output piecewise-affine functions. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17François Rummens, Stéphane Ygorra, Hol C. Mayiss Boussamba, Sylvie Renaud, Noëlle Lewis Theoretical study and optimisation of a standard deviation estimator circuit for adaptive threshold spike detection. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hadi Moradisizkoohi, Jafar Milimonfared, Meghdad Taheri, Sina Salehi Dobakhshari A high step-up half-bridge DC/DC converter with a special coupled inductor for input current ripple cancelation and extended voltage doubler circuit for power conditioning of fuel cell systems. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ion Vornicu, Ricardo Carmona-Galán, Belén Pérez-Verdú, Ángel Rodríguez-Vázquez Compact CMOS active quenching/recharge circuit for SPAD arrays. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ramazan Yeniçeri, Müstak E. Yalçin Asynchronous delay doubler and binary low-pass filter for a time-delay chaotic circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yijie Wang 0002, Xiangjun Zhang, Wei Wang 0103, Dianguo Xu 0001 Three-stage inrush current suppressed circuit for BCM boost converter. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mohsen Radfar, Kriyang Shah, Jack Singh A highly sensitive and ultra low-power forward body biasing circuit to overcome severe process, voltage and temperature variations and extreme voltage scaling. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Keun-Seon Ahn, Jaewoo Park, Changsik Yoo A 5.25-V-tolerant bidirectional I/O circuit in a 28-nm CMOS process. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Lennart Jansen, Michael Matthes, Caren Tischendorf Global unique solvability for memristive circuit DAEs of Index 1. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Juan C. García 0001, José Antonio Jiménez, Felipe Espinosa, Álvaro Hernández, Ignacio Fernández, María del Carmen Pérez, Jesús Ureña, Manuel Mazo 0001, Juan Jesús García Characterization of railway line impedance based only on short-circuit measurements. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ahmed S. Elwakil, Brent Maundy, M. A. Murtada Mapping of circuit variables into two-port network variables in basic amplifier structures: identifying new topologies. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17M. J. Sharifi, K. Jamshidnezhad A general SPICE compatible circuit model for single-electron devices and application to bit-error-rate calculations. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Hiroyuki Asahara, Takuji Kousaka Theoretical and experimental analysis of a simple PWM-1 controlled interrupted electric circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Erik Pankratz, Edgar Sánchez-Sinencio Survey of integrated-circuit-oscillator phase-noise analysis. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Zeynab Vahidpoor, Keyvan Forooraghi, Ali Fotowat-Ahmady, Zahra Atlasbaf Fast adjustable spectrum sensing circuit for cognitive radio applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Diyi Chen, Zaitao Sun, Xiaoyi Ma, Lei Chen Circuit implementation and model of a new multi-scroll chaotic system. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Konstantinos I. Papadimitriou, Emmanuel M. Drakakis CMOS weak-inversion log-domain glycolytic oscillator: a cytomimetic circuit example. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Chaoxia Zhang, Simin Yu On constructing complex grid multi-wing hyperchaotic system: Theoretical design and circuit implementation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Andreas Steinbrecher, Tatjana Stykel Model order reduction of nonlinear circuit equations. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ginés Doménech-Asensi, José Ángel Díaz-Madrid, Ramón Ruiz Merino Synthesis of CMOS analog circuit VHDL-AMS descriptions using parameterizable macromodels. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Leonardo Sandrolini, Ugo Reggiani, Giovanni Puccetti 0003, Yves Neau Equivalent circuit characterization of resonant magnetic coupling for wireless transmission of electrical energy. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Andrzej Handkiewicz, Piotr Katarzynski, Szymon Szczesny, Jaroslaw Wencel, Pawel Sniatala Analog filter pair design on the basis of a gyrator-capacitor prototype circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Tian Xia Analytic model for spread-spectrum clock generator circuit characterization. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Holokx A. Albuquerque, Paulo C. Rech Spiral periodic structure inside chaotic region in parameter-space of a Chua circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ákos Zarándy, Tamás Fülöp Approaching object detector mouse retina circuit model analysis and implementation on cellular sensor-processor array. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Javad Javidan, Seyed Mojtaba Atarodi, Howard C. Luong Circuit and system design for an 860-960 MHz RFID reader front-ends with Tx leakage suppression in 0.18 - µm CMOS technology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xiaokuo Yang, Li Cai, Hongtu Huang, Xiaohui Zhao Acknowledgment of support to Yang, X., Cai, L., Huang, H. and Zhao, X. (2011), A comparative analysis and design of quantum-dot cellular automata memory cell architecture. International Journal of Circuit Theory and Applications. doi: 10.1002/cta.710. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ole-Morten Midtgård Application of circuit theory for extraction of water from oil. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jingbin Jia, Ka Nang Leung Improved active-diode circuit used in voltage doubler. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hiroyuki Asahara, Takuji Kousaka Qualitative analysis of an interrupted electric circuit with spike noise. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yijiang Shen, Ngai Wong, Edmund Y. Lam, Cheng-Kok Koh Finite difference schemes for heat conduction analysis in integrated circuit design and manufacturing. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yen-Tai Lai, Hung-Yi Lin A low distortion CMOS continuous-time common-mode feedback circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Merih Yildiz, Shahram Minaei, Izzet Cem Göknar A flexible current-mode classifier circuit and its applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Guosi Hu Hyperchaos of higher order and its circuit implementation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chao-Jui Liang, Chiu-Chiao Chung, Hongchin Lin A low-voltage band-gap reference circuit with second-order analyses. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mizuyo Takamatsu, Satoru Iwata 0001 Index characterization of differential-algebraic equations in hybrid analysis for circuit simulation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Timo Reis Circuit synthesis of passive descriptor systems - a modified nodal approach. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Siu-Hong Lui, Hing-Kit Kwan, Ngai Wong Analog circuit design by nonconvex polynomial optimization: Two design examples. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Rosario Mita, Gaetano Palumbo Propagation delay of an RC-circuit with a ramp input: An analytical very accurate and simple model. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alexander Jimenez Triana, Edgar N. Sánchez, Guanrong Chen, José P. Pérez Real-time chaotic circuit stabilization via inverse optimal control. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yuehui Huang, Chi K. Tse Circuit theory of paralleling switching converters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alfonso J. Encinas, Ricardo Riaza Tree-based characterization of low index circuit configurations without passivity restrictions. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ahmed G. Radwan, Ahmed M. Soliman, Ahmed S. Elwakil Design equations for fractional-order sinusoidal oscillators: Four practical circuit examples. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Stephan J. G. Gift, Brent Maundy A novel circuit element and its application in signal amplification. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Árpád I. Csurgay, Wolfgang Porod Circuit models for arrays of nanoelectronic resonators - Appearances of discrete breathers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jaap Hoekstra Towards a circuit theory for metallic single-electron tunnelling devices. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Árpád I. Csurgay On circuit models for quantum-classical networks. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17György Csaba, Alpàr Màtyàs, Federico Peretti, Paolo Lugli Circuit modelling of coupling between nanosystems and microwave coplanar waveguides. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tamás Roska, Paolo Arena, Chin-Teng Lin, Ronald Tetzlaff Special issue on 'Cellular Wave Computing Architectures' International Journal of Circuit Theory and Application. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Natarajan Viswanathan, Min Pan, Chris Chu FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bo Hu 0006, Malgorzata Marek-Sadowska mFAR: Multilevel Fixed-Points Addition-Based VLSI Placement. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tao Luo 0002, David Z. Pan DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andrew B. Kahng, Sherief Reda, Qinke Wang APlace: A High Quality, Large-Scale Analytical Placer. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Peter Spindler, Frank M. Johannes Kraftwerk: A Fast and Robust Quadratic Placer Using an Exact Linear Net Model. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tony F. Chan, Kenton Sze, Joseph R. Shinnerl, Min Xie 0004 mPL6: Enhanced Multilevel Mixed-Size Placement with Congestion Control. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jarrod A. Roy, David A. Papa, Igor L. Markov Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17 Conclusion and Challenges. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh Congestion Minimization in Modern Placement Circuits. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia ISPD 2005/2006 Placement Benchmarks. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jason Cong, Michalis Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 Locality and Utilization in Placement Suboptimality. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rabin Raut On the realization of current transfer function using voltage amplifiers International Journal of Circuit Theory and Applications 2006; 34: 583-589 (DOI: 10.1002/cta.356). Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lihong Zhang, Rabin Raut, Yingtao Jiang, Ulrich Kleine, Yoohwan Kim A hybrid evolutionary analogue module placement algorithm for integrated circuit layout designs. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qing-Long Han Stability analysis for a partial element equivalent circuit (PEEC) model of neutral type. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ricardo Riaza, Javier Torres-Ramírez Non-linear circuit modelling via nodal methods. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Maurizio Porfiri, Francesco dell'Isola, Fabio Massimo Frattale Mascioli Circuit analog of a beam and its application to multimodal vibration damping, using piezoelectric transducers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17B. Siddik Yarman, Ali Kilinc, Ahmet Aksen Immitance data modelling via linear interpolation techniques: A classical circuit theory approach. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jaap Hoekstra On the impulse circuit model for the single-electron tunnelling junction. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Wolfgang Mathis, Frank Felgenhauer, Simon Fabel Quantum transport, quantum effects and circuit functionality of nanostructured electronic circuits. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17C. K. Tse Circuit theory of power factor correction in switching converters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Peter Glösekötter, Christian Pacha, Karl F. Goser, Werner Prost, Samuel O. Kim, Holger van Husen, Thorsten Reimann, Franz-Josef Tegude Circuit and application aspects of tunnelling devices in a MOBILE configuration. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Xiao-Song Yang, Qingdu Li, Guanrong Chen A twin-star hyperchaotic attractor and its circuit implementation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Günter Mahler, Alexander Otte, Marcus Stollsteimer On the circuit paradigm in quantum networks. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lorenzo Repetto, Marco Storace, Mauro Parodi A method for the approximate synthesis of cellular non-linear networks - Part 2: Circuit reduction. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dimitris K. Papakostas, Alkis A. Hatzopoulos Estimation of circuit output measurements including statistically dependent parameters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Marco Storace, Lorenzo Repetto, Mauro Parodi A method for the approximate synthesis of cellular non-linear networks - Part 1: Circuit definition. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alexander Chatzigeorgiou, Spiridon Nikolaidis 0001 Efficient output waveform evaluation of a CMOS inverter based on short-circuit current prediction. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Árpád Csurgay, Wolfgang Porod Equivalent circuit representation of arrays composed of Coulomb‐coupled nanoscale devices: modelling, simulation and realizability. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17 I. W. Sandberg, 'Linear shift‐invariant input-output maps do not necessarily commute'. International Journal of Circuit Theory and Applications, 2000; 28: 513-518. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license