The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Honai Ueoka, Takehiro Sato, Eiji Oki Crosstalk-Aware Backup Network Design against Probabilistic Link Failures in Multi-Core Fiber Optical Path Network. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Megumi Hoshi, Kohki Shibahara, Shimpei Shimizu, Takayuki Kobayashi, Takeshi Umeki, Takushi Kazama, Kei Watanabe, Takayoshi Mori, Yusuke Yamada, Kazuhide Nakajima, Yutaka Miyamoto Mitigation of Intercore Crosstalk Impact with PPLN-based Optical Spectrum Inversion. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yuto Kobayashi, Takahiro Suganuma, Tetsuya Hayashi, Takemi Hasegawa, Masato Yoshida, Masataka Nakazawa Characterization of Inter-core Crosstalk of Multi-core Fiber as a Function of Bending Radius with Multi-channel OTDR. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Po-Hsiang Huang, Tzu-Hsiang Yen, Chih-Hsien Chen, Chewn-Pu Jou, Yung-Jr Hung Silicon wavelength (de)multiplexer with low channel crosstalk for CWDM applications. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Mayu Nakagawa, Masaki Ohzeki, Yusuke Sasaki, Katsuhiro Takenaga, Kentaro Ichii Estimating Crosstalk Between Diagonal Cores in Four-Core Fibers with Square Lattice Structure. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Gustavo Ocampo, Takanori Sato, Takeshi Fujisawa, Yoshimichi Amma, Kunimasa Saitoh Analysis of Crosstalk Dependence on Cladding Diameter in Heterogeneous Multi-core Fibers by Considering Polarization-mode Coupling Effects. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Dekun Liu, Yuanqiu Luo, Frank J. Effenberger, Andy Shen, Dezhi Zhang Out-of-Band Crosstalk Analysis on XG(S)-PON and G-PON Coexistence. Search on Bibsonomy OECC/PSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jeremy Flannery, Roland Matt, Luca Huber, Robin Oswald, Kaizhao Wang, Jonathan Home Optical Crosstalk Mitigation for Individual Addressing in a Cryogenic Ion Trap. Search on Bibsonomy QCE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Vidya A. Chhabria, Ben Keller, Yanqing Zhang 0002, Sandeep Vollala, Sreedhar Pratty, Haoxing Ren, Brucek Khailany XT-PRAGGMA: Crosstalk Pessimism Reduction Achieved with GPU Gate-level Simulations and Machine Learning. Search on Bibsonomy MLCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Atsushi Nakamura, Tomokazu Oda, Yusuke Koshikiya Method of Estimating Inter-Core Crosstalk for Constructing Uncoupled Multi-Core Fiber Transmission Line. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Cheng Guo, Michael Vasilyev, Youichi Akasaka, Paparao Palacharla Power consumption and FWM crosstalk analysis of a hybrid S-band amplifier based on two parametric wavelength converters and an EDFA. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Chang-Ying Lin, Jhih-Heng Yan, Kuan-Heng Chen, Kai-Ming Feng Polarization Crosstalk Reduction by Successive Interference Cancellation for Polarization- Tracking-Free PDM Radio over Fiber Mobile Fronthaul System. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Wei Gao, Xin Li, Liangjun Lu, Jianping Chen, Linjie Zhou Broadband, Low-Crosstalk and Power-Efficient 32×32 Optical Switch on a Dual-Layer Si3N4-on-SOI Platform. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Tomoyuki Akiyama, Motoyuki Nishizawa, Akio Sugama, Yasuhiro Nakasha, Shinsuke Tanaka, Yu Tanaka, Takeshi Hoshida First Demonstration of Crosstalk-Free (< -38.5 dB) 32-ch DWDM Demultiplexer on Standard Si PIC Platform. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Amin Shafiee, Sanmitra Banerjee, Krishnendu Chakrabarty, Sudeep Pasricha, Mahdi Nikdast LoCI: An Analysis of the Impact of Optical Loss and Crosstalk Noise in Integrated Silicon-Photonic Neural Networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shrinivas Petale, Suresh Subramaniam 0001 An ML Approach for Crosstalk-Aware Modulation Format Selection in SDM-EONs. Search on Bibsonomy ONDM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ryan M. Corey, Manan Mittal, Kanad Sarkar, Andrew C. Singer Adaptive Crosstalk Cancellation and Spatialization for Dynamic Group Conversation Enhancement Using Mobile and Wearable Devices. Search on Bibsonomy IWAENC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Liang Jiang, Mingyu Chen 0008, Ruilin Ge, Jianhua Shen A Spectrum Allocation Algorithm Based on Threshold to Reduce Crosstalk. Search on Bibsonomy ICAIT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Lei Xie, Jidong Zhai, Zhenxing Zhang, Jonathan Allcock, Shengyu Zhang 0002, Yicong Zheng Suppressing ZZ crosstalk of Quantum computers through pulse and scheduling co-optimization. Search on Bibsonomy ASPLOS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Michalis Nitas, Vasilieios Salonikios, Traianos V. Yioultsis, Theodoros T. Zygiridis, Nikolaos V. Kantartzis, Stamatios A. Amanatiadis A Crosstalk Study on Metamaterial-Inspired Substrate Integrated Waveguides. Search on Bibsonomy MOCAST The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Faten Sahel Contribution à la modélisation du couplage entre les alimentations et les signaux sensibles dans les cartes électroniques à haute densité d'interconnexions. (Contribution to the modelling of crosstalk between power supplies and sensitive signals in high-density interconnection PCBs). Search on Bibsonomy 2022   RDF
12Muhammad Ajmal, Xiande Zhang New optimal error-correcting codes for crosstalk avoidance in on-chip data buses. Search on Bibsonomy Adv. Math. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12M. Mubarak Ali, G. Madhupriya, R. Indhumathi, Pandiyan Krishnamoorthy Performance enhancement of 8˟8 dilated banyan network using crosstalk suppressed GMZI crossbar photonic switches. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Xiaomei Lu, Yongxiang Hu, Ali H. Omar, Rosemary Baize, Mark A. Vaughan, Sharon Rodier, Jayanta Kar, Brian Getzewich, Patricia Lucker, Charles A. Trepte, Chris Hostetler, David M. Winker Global Ocean Studies from CALIOP/CALIPSO by Removing Polarization Crosstalk Effects. Search on Bibsonomy Remote. Sens. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Corentin Lubeigt, Lorenzo Ortega, Jordi Vilà-Valls, Laurent Lestarquit, Eric Chaumette On the Impact and Mitigation of Signal Crosstalk in Ground-Based and Low Altitude Airborne GNSS-R. Search on Bibsonomy Remote. Sens. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yunqiao He, Tianhe Xu, Fan Gao, Nazi Wang, Xinyue Meng, Baojiao Ning Analysis and Mitigation of Crosstalk Effect on Coastal GNSS-R Code-Level Altimetry Using L5 Signals from QZSS GEO. Search on Bibsonomy Remote. Sens. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12 Crosstalk minimization in network on chip (NoC) links with dual binary weighted code CODEC. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Baoming Xiao, Jianming Zhou, Xingfa Liu, Wei Yan, Yi Cao, Yang Zhao Crosstalk Prediction in Twisted-Wire Pairs Based on Beetle Swarm Optimization Algorithm. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yaodong Zhu, Yongsheng Huang, Haifu Wu, Zakiud Din, Jianzhong Zhang 0006 A Multi-Level Gate Driver for Crosstalk Suppression of Silicon Carbide MOSFETs in Bridge Arm. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Juncheng Wang, Xuefeng Chen 0004, Rui Bai 0001, Patrick Yin Chiang, Quan Pan 0002 A 4 × 10 Gb/s Adaptive Optical Receiver Utilizing Current-Reuse and Crosstalk-Remove. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Zahra Shirmohammadi, Ata Khorami, Martin Eugenio Omana ST-CAC: a low-cost crosstalk avoidance coding mechanism based on three-valued numerical system. Search on Bibsonomy J. Supercomput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Zahra Shirmohammadi, Marjan Asadinia On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC. Search on Bibsonomy J. Supercomput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Alessio Atzori, Alessio Carullo, Simone Corbellini, Alberto Vallan Crosstalk Effects in the Uncertainty Estimation of Multiplexed Data Acquisition Systems. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Kyo Inoue Analysis of BER Degradation Owing to Multiple Crosstalk Channels in Optical QPSK/QAM Signals. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2021 DBLP  BibTeX  RDF
12Wan Kin Au Yeung, Osamu Maruyama, Hiroyuki Sasaki A convolutional neural network-based regression model to infer the epigenetic crosstalk responsible for CG methylation patterns. Search on Bibsonomy BMC Bioinform. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Manjit Kaur, Neena Gupta, Sanjeev Kumar, Balwinder Raj, Arun K. Singh Comparative radio-frequency and crosstalk analysis of carbon-based nano-interconnects. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Gholamreza Nikandish, Robert Bogdan Staszewski, Anding Zhu A Fully Integrated GaN Dual-Channel Power Amplifier With Crosstalk Suppression for 5G Massive MIMO Transmitters. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Bijoy Chand Chatterjee, Abdul Wadud, Imran Ahmed, Eiji Oki Priority-Based Inter-Core and Inter-Mode Crosstalk-Avoided Resource Allocation for Spectrally-Spatially Elastic Optical Networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Hao-Dong Xu, Ru-Ping Liang, You-Gan Wang, Jian-Ding Qiu mUSP: a high-accuracy map of the in situ crosstalk of ubiquitylation and SUMOylation proteome predicted via the feature enhancement approach. Search on Bibsonomy Briefings Bioinform. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Zhehui Wang, Zhifei Wang, Jiang Xu 0001, Jun Feng 0008, Shixi Chen, Xuanqi Chen, Jiaxu Zhang Reduce Loss and Crosstalk in Integrated Silicon-Photonic Multistage Switching Fabrics Through Multichip Partition. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Bijoy Chand Chatterjee, Abdul Wadud, Eiji Oki Proactive Fragmentation Management Scheme Based on Crosstalk-Avoided Batch Processing for Spectrally-Spatially Elastic Optical Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Baojun Liu, Cheng Li, Chuang Li, Shuang Zhang Effect of temperature and single event transient on crosstalk in coupled single-walled carbon nanotube (SWCNT) bundle interconnects. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Qiang Bo, Yuwang Zhang, Yanjie Guo, Lifang Wang Characteristic analysis and suppression of SiC MOSFET-based crosstalk for inductive power transfer systems. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Masoumeh Taali, Zahra Shirmohammadi A Numeral System Based Framework for Improved One-Lambda Crosstalk Avoidance Code Using Recursive Symmetry Formula. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Manvi Sharma, Mayank Kumar Rai, Rajesh Khanna Dielectric surface roughness scattering induced crosstalk performance of coupled MCB interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Girish Kumar Mekala, Yash Agrawal, Vobulapuram Ramesh Kumar, Rajeevan Chandel A prominent unified crosstalk model for linear and sub-threshold regions in mixed CNT bundle interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Siyuan Niu, Aida Todri-Sanial Analyzing crosstalk error in the NISQ era. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
12Smita Paira, Monish Chatterjee, Uma Bhattacharya On survivable energy-efficient and crosstalk-aware routing, spectrum and core allocation schemes for dynamic multiclass traffic in SDM-EONs. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Pedro Parrado-Rodríguez, Ciarán Ryan-Anderson, Alejandro Bermudez, Markus Müller Crosstalk Suppression for Fault-tolerant Quantum Error Correction with Trapped Ions. Search on Bibsonomy Quantum The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Phoebe Neo, Terence Mayne, Xiping Fu, Zhiyi Huang 0001, Elizabeth A. Franz Crosstalk disrupts the production of motor imagery brain signals in brain-computer interfaces. Search on Bibsonomy Health Inf. Sci. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Deepyaman Das, Soumita Podder Unraveling the molecular crosstalk between Atherosclerosis and COVID-19 comorbidity. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Md. Mahiuddin Development of an OADM to reduce the incoherent crosstalk in WDM system. Search on Bibsonomy ICT Express The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Rosanna Ciriello, Antonio Guerrieri A Crosstalk- and Interferent-Free Dual Electrode Amperometric Biosensor for the Simultaneous Determination of Choline and Phosphocholine. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Varshitha Yashvanth, Sazzadur Chowdhury An Investigation of Silica Aerogel to Reduce Acoustic Crosstalk in CMUT Arrays. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Remigiusz Rajewski The Optical Signal-to-Crosstalk Ratio for the MBA(N, e, g) Switching Fabric †. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Alfred Mertins, Marco Maaß, Fabrice Katzberg Room Impulse Response Reshaping and Crosstalk Cancellation Using Convex Optimization. Search on Bibsonomy IEEE ACM Trans. Audio Speech Lang. Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Tobias Kabzinski, Peter Jax A Causality-Constrained Frequency-Domain Least-Squares Filter Design Method for Crosstalk Cancellation. Search on Bibsonomy IEEE ACM Trans. Audio Speech Lang. Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Chuan Wu Activation of Microglial Genes and Crosstalk with Micro-environment in Modulating Immunological Pathology in Alzheimer's Disease. Search on Bibsonomy ICBBS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Seok Lee, Juyong Park, Dongkyung Nam Crosstalk Minimization Method for Eye-tracking-based 3D Display. Search on Bibsonomy SD&A The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Siyuan Niu, Aida Todri-Sanial Analyzing crosstalk error in the NISQ era. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Naveen Kumar Macha, Prerana Samant, Mostafizur Rahman Crosstalk Logic Circuits with Built-in Memory. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Lara Briñón-Arranz, Tiana A. Rakotovao, Thierry Creuzet, Cem Karaoguz, Oussama El Hamzaoui A methodology for analyzing the impact of crosstalk on LIDAR measurements. Search on Bibsonomy IEEE SENSORS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Weijie Dong, Bingxin Ren, Yongping Xie Design of High Density PCBs with Far-End Crosstalk for Microstrip Lines as Measurement Index. Search on Bibsonomy EEET The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Xiaorong Zhong, Yi Zhao, Jian Gu, Yang Zhao Types and Solutions of Signal Crosstalk of Vibration Acquisition System on Spacecraft. Search on Bibsonomy ICCAI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yang Liu, Changxian Li, Bozhen Ma Analysis of Frequency Domain Characteristics of Crosstalk for Train Network Control Communication Cable. Search on Bibsonomy CSAE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Hadi Zamani 0001, Zahra Shirmohammadi, Ali Jahanshahi Deflection-Aware Routing Algorithm in Network on Chip against Soft Errors and Crosstalk Faults. Search on Bibsonomy NAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Juefei Yang, Saeed Jahdi, Bernard H. Stark, Ruizhu Wu, Olayiwola Alatise, Jose Angel Ortiz Gonzalez Impact of Temperature and Switching Rate on Properties of Crosstalk on Symmetrical & Asymmetrical Double-trench SiC Power MOSFET. Search on Bibsonomy IECON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Xueling Li, Yuanqing Wang Low Crosstalk Multi-view 3D Display Based on Parallax Barrier with Dimmed Subpixel. Search on Bibsonomy ICIG (3) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Jurandir C. Lacerda Jr., Adolfo V. T. Cartaxo, André C. B. Soares Um Novo Algoritmo Ciente de Crosstalk para Alocação de Núcleo e Espectro em Redes Ópticas Elásticas Multi-Núcleos. Search on Bibsonomy SBRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Edson Rodrigues, Gustavo B. Figueiredo, Juliana de Santi, Helder M. N. S. Oliveira Roteamento e Alocação de Núcleo e Espectro com Ciência de Fragmentação e Crosstalk em SDM-EON. Search on Bibsonomy SBRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Edson Rodrigues, Helder M. N. S. Oliveira, Nelson L. S. da Fonseca Crosstalk and Fragmentation-aware Algorithm for Space-Division Multiplexing Elastic Optical Networks. Search on Bibsonomy LATINCOM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Gaopeng Xue, Masaya Toda, Xinghui Li, Takahito Ono Chip-level-microassembly Comb-drive XYZ-microstage with Large Displacements and Low Crosstalk. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Congmin Xu, Quoc D. Mac, Qiong Jia, Peng Qiu Immune-Microbiota Crosstalk Underlying Inflammatory Bowel Disease. Search on Bibsonomy ISBRA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Morgan McNamara, Alpaslan Ersöz, Martin Han A Diagnostic Circuit for Crosstalk Detection in Microelectrode Arrays. Search on Bibsonomy NER The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yuqian Sun, Xiaole Cui, Yongliang Chen, Xiaoxin Cui The logic obfuscation of LFSR with the crosstalk based polymorphic gate. Search on Bibsonomy AsianHOST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Tzu-Hsien Yang, Yong-Hwa Wen, Yu-Jheng Ou Yang, Chun-Kai Chiu, Bo-Kuan Wu, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai 17.7 A 0.03mV/mA Low Crosstalk and 185nA Ultra-Low-Quiescent Single-Inductor Multiple-Output Converter Assisted by 5-Input Operational Amplifier for 94.3% Peak Efficiency and 3.0W Driving Capability. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Seunghwan Hong, Chang-Hyun Bae, Yoo-Chang Sung, Jaewoong Kim, Junsub Yoon, Sangwoo Kim, Jin-Hyeok Baek, Cheongryong Cho, Useung Shin, Sang-Kyeom Kim, Hwan-Chul Jung, Ho-Jun Chang, Jang-Hoo Kim, Jeongsik Hwang, Hyunki Kim, Ki-Won Lee, Dongmin Kim, Han-Ki Jeong, Myung-O. Kim, Kyomin Sohn, Jeong-Don Ihm, Changsik Yoo, Sang Joon Hwang A Reflection and Crosstalk Canceling Continuous-Time Linear Equalizer for High-Speed DDR SDRAM. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Daniel Iparraguirre, José G. Delgado-Frias, Howard Heck A Crosstalk-Harnessed Signaling Enhancement that Eliminates Common-Mode Encoding. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Chuang Bi, Hong Ou, Qingzhou Kang, Rongdong Li, Lin Cheng A Novel Driver Circuit on Crosstalk Suppression in SiC MOSFETs. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Pujan Joshi, Honglin Wang, Salvatore Jaramillo, Seung-Hyun Hong, Charles Giardina, Dong-Guk Shin Identification of Crosstalk between Biological Pathway Routes in Cancer Cohorts. Search on Bibsonomy BIBM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Mahsa Akhsham, Zainalabedin Navabi Integrating an Interconnect BIST with Crosstalk Avoidance Hardware. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Galina Georgieva, Pascal M. Seiler, Christian Mai, Klaus Petermann, Lars Zimmermann 2D Grating Coupler Induced Polarization Crosstalk in Coherent Transceivers for Next Generation Data Center Interconnects. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
12Vladimir Nazarov, Sergey A. Kuchinsky, Aramais R. Zakharian, Ming-Jun Li Crosstalk Statistical Distributions in Multicore Fibers Under Different Deployment Conditions. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
12Hilel Hagai Diamandi, Avi Zadok Opto-Mechanical Inter-Core Crosstalk in Multi-Core Fibers. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
12Thierry Zami, Kaoutar Benyahya, Aymeric Arnould, Haïk Mardoyan, Jérémie Renaudier, Bruno Lavigne Impact of crosstalk on 800 Gb/s 90 GBaud 64QAM channel. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
12Tomoyuki Akiyama, Motoyuki Nishizawa, Akio Sugama, Yasuhiro Nakasha, Shinsuke Tanaka, Yu Tanaka, Shoichiro Oda, Takeshi Hoshida Demonstration of Crosstalk-Free WDM Demultiplexing on Si Nanowire PIC Controlled by Si CMOS ASIC. Search on Bibsonomy OFC The full citation details ... 2021 DBLP  BibTeX  RDF
12Faten Sahel, Pascal Guilbault, Farouk Vallette, Sylvain Feruglio A Crosstalk Modelling Method between a Power Supply and a Nearby Signal in High-density Interconnection PCBs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Smita Paira, Uma Bhattacharya, Monish Chatterjee A crosstalk-aware and energy-saving survivable RSCA for online prioritized traffic in SDM-EONs. Search on Bibsonomy ICCCNT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Hany Ragab, Alyssa Milburn, Kaveh Razavi, Herbert Bos, Cristiano Giuffrida CrossTalk: Speculative Data Leaks Across Cores Are Real. Search on Bibsonomy SP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Rafael A. Dias, João L. Rebola, Adolfo V. T. Cartaxo Performance Analysis of PAM4 Signal Transmission in Inter-datacenter Multicore Fiber Links Impaired by Inter-Core Crosstalk. Search on Bibsonomy PHOTOPTICS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yao Li, Yiqiang Zhao, Mao Ye 0007, Yong Chen A Readout Circuit for Tactile Sensor with Crosstalk Suppression and Non-Uniformity Compensation. Search on Bibsonomy APCCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Lei Xie, Jidong Zhai, Weimin Zheng Mitigating Crosstalk in Quantum Computers through Commutativity-Based Instruction Reordering. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yu-Chi Chang, Cheng-Hsuan Lin, Zong-Ru Tu, Jing-Hua Lee, Sheng Chuan Cheng, Ching-Chiang Wu, Ken Wu, H. J. Tsai 0.8 um Color Pixels with Wave-Guiding Structures for Low Optical Crosstalk Image Sensors. Search on Bibsonomy Imaging Sensors and Systems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Ruben S. Luis, Benjamin J. Puttnam, Georg Rademacher, Yoshinari Awaji, Hideaki Furukawa Experimental Evaluation of the Crosstalk Impulse Response of a Temperature Controlled Homogeneous Multi-Core Fiber. Search on Bibsonomy ECOC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Tomoyuki Akiyama, Motoyuki Nishizawa, Akio Sugama, Yasuhiro Nakasha, Shinsuke Tanaka, Yu Tanaka, Takeshi Hoshida Temperature-Tolerant Crosstalk-Free WDM Demultiplexing Using Controller-Integrated Cascaded AMZ Triplet (CAT) on Si Nano-Waveguide PIC Platform. Search on Bibsonomy ECOC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Junseok Kim, Eun Ae Lee, Chung-Sup Kim, Young-Jun Chong, Joon Ho Cho An Efficient Calibration of MIMO Channel Sounders With Internal Crosstalk. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Kai Siang Ooi, Chun Lei Kong, Chan Hong Goay, Nur Syazreen Ahmad, Patrick Goh Crosstalk modeling in high-speed transmission lines by multilayer perceptron neural networks. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Peter Händel, Daniel Rönnow MIMO and Massive MIMO Transmitter Crosstalk. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Dmitrii Briantcev, Abderrahmen Trichili, Boon S. Ooi, Mohamed-Slim Alouini Crosstalk Suppression in Structured Light Free-Space Optical Communication. Search on Bibsonomy IEEE Open J. Commun. Soc. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Mohammad Jafari-Beyrami, Akbar Ghaffarpour Rahbar, Soheil Hosseini On-demand fragmentation-aware spectrum allocation in space division multiplexed elastic optical networks with minimized crosstalk and multipath routing. Search on Bibsonomy Comput. Networks The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license