The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Yang Sun 0001, Joseph R. Cavallaro A low-power 1-Gbps reconfigurable LDPC decoder design for multiple 4G wireless standards. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Saraju P. Mohanty ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ravi Surepeddi System Verilog for Quality of Results (QoR). Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System Verilog Design Quality Results
9Sabyasachi Das, Sunil P. Khatri An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sean O'Melia, Adam J. Elbirt Instruction Set Extensions for Enhancing the Performance of Symmetric-Key Cryptography. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jad Naous, David Erickson, G. Adam Covington, Guido Appenzeller, Nick McKeown Implementing an OpenFlow switch on the NetFPGA platform. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flow switching, computer networks, packet switching, programmable networks, OpenFlow, NetFPGA
9George R. Roelke, Rusty O. Baldwin, Barry E. Mullins, Yong C. Kim A Cache Architecture for Extremely Unreliable Nanotechnologies. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Lesley Shannon, Paul Chow SIMPPL: An Adaptable SoC Framework Using a Programmable Controller IP Interface to Facilitate Design Reuse. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Brandon J. Jasionowski, Michelle K. Lay, Martin Margala A Processor-In-Memory Architecture for Multimedia Compression. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ka-Ming Keung, Vineela Manne, Akhilesh Tyagi A Novel Charge Recycling Design Scheme Based on Adiabatic Charge Pump. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Han Liang, Piyush Mishra, Kaijie Wu 0001 Error Correction On-Demand: A Low Power Register Transfer Level Concurrent Error Correction Technique. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concurrent error detection, register-transfer level, single-event upsets, hardware redundancy
9Namrata Shekhar, Sudhakar Kalla, Florian Enescu Equivalence Verification of Polynomial Datapaths Using Ideal Membership Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sivaram Gopalakrishnan, Priyank Kalla Optimization of polynomial datapaths using finite ring algebra. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic datapaths, finite ring algebra, modulo arithmetic, polynomial datapaths, High-level synthesis
9Mohammad Hosseinabady, Pejman Lotfi-Kamran, Zainalabedin Navabi Low test application time resource binding for behavioral synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CDFG, high-level synthesis, Testability, test synthesis
9Jeffrey M. Arnold The Architecture and Development Flow of the S5 Software Configurable Processor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software configurable processor, reconfigurable architectures, embedded computing, instruction set extension
9Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Renaud Pacalet, Yves Mathieu Secured CAD Back-End Flow for Power-Analysis-Resistant Cryptoprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robust hardware, back-end design automation, power-constant architectures, DFY, side-channel attacks, DFM, mitigation
9Praveen Raghavan, Nandhavel Sethubalasubramanian, Satyakiran Munaga, Estela Rey Ramos, Murali Jayapala, Oliver Weiss, Francky Catthoor, Diederik Verkest Semi Custom Design: A Case Study on SIMD Shufflers. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9María C. Molina, Rafael Ruiz-Sautua, Jose Manuel Mendias, Román Hermida Area optimization of multi-cycle operators in high-level synthesis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal Very wide register: an asymmetric register file organization for low power embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Fabio Campi, Antonio Deledda, Matteo Pizzotti, Luca Ciccarelli, Pier Luigi Rolandi, Claudio Mucci, Andrea Lodi 0002, Arseni Vitkovski, Luca Vanzolini A dynamically adaptive DSP for heterogeneous reconfigurable platforms. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Amir Hormati, Nathan Clark, Scott A. Mahlke Exploiting Narrow Accelerators with Data-Centric Subgraph Mapping. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kiran Puttaswamy, Gabriel H. Loh Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Andrew Lines The Vortex: A Superscalar Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shin-Yi Lin, Chih-Tsun Huang A High-Throughput Low-Power AES Cipher for Network Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, low-power AES cipher, two-stage pipeline, CCM mode, design-for-test circuitry, 4.27 Gbits/s, 333 MHz, 40.9 mW, CMOS technology, network applications
9Pablo Ituero, Gorka Landaburu, Javier Del Ser, Marisa López-Vallejo, Pedro M. Crespo, Vicente Atxa, Jon Altuna Joint Source-Channel Decoding ASIP Architecture for Sensor Networks. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSC, Sensor Networks, VLIW, ASIP, Turbo Codes, Joint Source-Channel Coding, Factor Graphs
9Kristopher D. Peterson, Justin L. Tripp Effective Automatic Memory Allocation Algorithm Based on Schedule Length in Cycles in a Novel C to FPGA Compiler. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jérémie Detrey, Florent de Dinechin Floating-Point Trigonometric Functions for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Evangelos Koukis, Nectarios Koziris Efficient Block Device Sharing over Myrinet with Memory Bypass. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Xiaojun Wang, Miriam Leeser K-means Clustering for Multispectral Images Using Floating-Point Divide. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee 0001, Hoi-Jun Yoo A low-power handheld GPU using logarithmic arithmetic and triple DVFS power domains. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF handheld systems, low-power, GPU, hardware architecture, 3D computer graphics
9Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
9Shorin Kyo, Takuya Koga, Hanno Lieske, Shouhei Nomoto, Shin'ichiro Okazaki A low-cost mixed-mode parallel processor architecture for embedded systems. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, parallel architectures, SIMD, MIMD, multimedia processing, tile architectures, mixed-mode
9Pi-Chen Hsiao, Tay-Jyi Lin, Chih-Wei Liu, Chein-Wei Jen Latency-Tolerant Virtual Cluster Architecture for VLIW DSP. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Klaus Gaedke, Malte Borsum, Marco Georgi, Andreas Kluger, Jean-Pierre Le Glanic, Pascal Bernard Architecture and VLSI Implementation of a programmable HD Real-Time Motion Estimator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Arnaldo Azevedo, Bruno Zatt, Luciano Volcan Agostini, Sergio Bampi MoCHA: a Bi-Predictive Motion Compensation Hardware for H.264/AVC Decoder Targeting HDTV. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Henrik Svensson, Thomas Lenart, Viktor Öwall Accelerating Vector Operations by Utilizing Reconfigurable Coprocessor Architectures. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shin-Kai Chen, Bing-Shiun Wang, Tay-Jyi Lin, Chih-Wei Liu Rapid C to FPGA Prototyping with Multithreaded Emulation Engine. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9K. T. Gardiner, Alexandre Yakovlev, Alexandre V. Bystrov A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mustafa Parlak, Ilker Hamzaoglu A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ben Cope, Peter Y. K. Cheung, Wayne Luk Bridging the Gap between FPGAs and Multi-Processor Architectures: A Video Processing Perspective. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada, Katsuya Ishii Complexity-constrainted partitioning of sequential programs for efficient behavioral synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF function calls, integer programming problem, behavioral synthesis
9Zhenyu Liu 0001, Yiqing Huang 0002, Yang Song 0002, Satoshi Goto, Takeshi Ikenaga Hardware-efficient propagate partial sad architecture for variable block size motion estimation in H.264/AVC. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variable block size motion estimation, VLSI, H.264
9Kazuo Sakiyama, Elke De Mulder, Bart Preneel, Ingrid Verbauwhede Side-channel resistant system-level design flow for public-key cryptography. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elliptic curve cryptography, side-channel attack, system-level modeling
9Elias Mizan, Tileli Amimeur, Margarida F. Jacome Self-Imposed Temporal Redundancy: An Efficient Technique to Enhance the Reliability of Pipelined Functional Units. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sameer D. Sahasrabuddhe, Hakim Raja, Kavi Arya, Madhav P. Desai AHIR: A Hardware Intermediate Representation for Hardware Generation from High-level Programs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Saraju P. Mohanty, Elias Kougianos Simultaneous Power Fluctuation and Average Power Minimization during Nano-CMOS Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Thaísa Leal da Silva, Cláudio Machado Diniz, João Alberto Vortmann, Luciano Volcan Agostini, Altamiro Amadeu Susin, Sergio Bampi A Pipelined 8x8 2-D Forward DCT Hardware Architecture for H.264/AVC High Profile Encoder. Search on Bibsonomy PSIVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 8x8 2-D DCT, H.264/AVC standard, Video compression, Architectural Design
9Lovleen Bhatia, Jayesh Gaur, Praveen Tiwari, Raj S. Mitra, Sunil H. Matange Leveraging Semi-Formal and Sequential Equivalence Techniques for Multimedia SOC Performance Validation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yuming Zhu, L. Li, Chaitali Chakrabarti Study of energy and performance of space-time decoding systems in concatenation with turbo decoding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hoang Q. Dao, Bart R. Zeydel, Vojin G. Oklobdzija Energy optimization of pipelined digital systems using circuit sizing and supply scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Huai-Yi Hsu, Jih-Chiang Yeo, An-Yeu Wu Multi-Symbol-Sliced Dynamically Reconfigurable Reed-Solomon Decoder Design Based on Unified Finite-Field Processing Element. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Thomas Lenart, Viktor Öwall Architectures for Dynamic Data Scaling in 2/4/8K Pipeline FFT Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng Simulation-Based Functional Test Generation for Embedded Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Simulation, learning, test generation, functional test
9Akshay Sharma, Carl Ebeling, Scott Hauck PipeRoute: a pipelining-aware router for reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh A Unified Theory of Timing Budget Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ying Yi, Roger F. Woods Hierarchical synthesis of complex DSP functions using IRIS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Bin Wu, Jianwen Zhu, Farid N. Najm Dynamic-range estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Olivier Rochecouste, Gilles Pokam, André Seznec A case for a complexity-effective, width-partitioned microarchitecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Power analysis
9Madhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Compiler-directed thermal management for VLIW functional units. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLIW, thermal, IPC
9Jie S. Hu, Shuai Wang 0006, Sotirios G. Ziavras In-Register Duplication: Exploiting Narrow-Width Value for Improving Register File Reliability. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo, Hoi-Jun Yoo Design and test of fixed-point multimedia co-processor for mobile applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Namrata Shekhar, Priyank Kalla, Florian Enescu Equivalence verification of arithmetic datapaths with multiple word-length operands. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Michael L. Chu, Scott A. Mahlke Compiler-directed Data Partitioning for Multicluster Processors. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Caaliph Andriamisaina, Bertrand Le Gal, Emmanuel Casseau Bit-Width Optimizations for High-Level Synthesis of Digital Signal Processing Systems. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christos A. Papachristou, J. Weaver, R. Vijayakumar, Francis G. Wolff A Dynamic Reconfigurable Fabric for Platform SoCs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Andrea Lodi 0002, Claudio Mucci, Massimo Bocchi, Andrea Cappelli, Mario de Dominicis, Luca Ciccarelli A Multi-Context Pipelined Array for Embedded Systems. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Reiner W. Hartenstein RAW keynote 2: new horizons of very high performance computing (VHPC): hurdles and chances. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yohei Hasegawa, Shohei Abe, Shunsuke Kurotaki, Vu Manh Tuan, Naohiro Katsura, Takuro Nakamura, Takashi Nishimura, Hideharu Amano Performance and power analysis of time-multiplexed execution on dynamically reconfigurable processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Xiaojun Wang, Sherman Braganza, Miriam Leeser Advanced Components in the Variable Precision Floating-Point Library. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Rodrigo Agís, Javier Díaz 0001, Eduardo Ros 0001, Richard R. Carrillo, Eva M. Ortigosa Event-Driven Simulation Engine for Spiking Neural Networks on a Chip. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Su-Shin Ang, George A. Constantinides, Peter Y. K. Cheung, Wayne Luk A Flexible Multi-port Caching Scheme for Reconfigurable Platforms. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kazuo Sakiyama, Nele Mentens, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Reconfigurable Modular Arithmetic Logic Unit for High-Performance Public-Key Cryptosystems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RSA, Elliptic Curve Cryptography (ECC), Public-Key Cryptography (PKC), Reconfigurable architecture, FPGA implementation
9T. S. Ganesh, Viswanathan Subramanian, Arun K. Somani SEU Mitigation Techniques for Microprocessor Control Logic. Search on Bibsonomy EDCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Onur Guzey, Charles H.-P. Wen, Li-C. Wang, Tao Feng 0012, Hillel Miller, Magdy S. Abadir Extracting a Simplified View of Design Functionality Based on Vector Simulation. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yunan Xiang, R. Pettibon, Martin Margala A versatile computation module for adaptable multimedia processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9André Borin Soares, Altamiro Amadeu Susin, Leticia V. Guimaraes Automatic generation of neural networks for image processing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede A fast dual-field modular arithmetic logic unit and its hardware implementation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jeffrey M. Arnold Software Configurable Processors. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
9Saraju P. Mohanty, Ramakrishna Velagapudi, Elias Kougianos Dual-K Versus Dual-T Technique for Gate Leakage Reduction : A Comparative Perspective. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Vyas Krishnan, Srinivas Katkoori Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength Estimation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Bruce F. Cockburn, Keith Boyle Design and Characterization of a Digital Delay Locked Loop Synthesized from Black Box Standard Cells. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Joseph J. Sharkey, Dmitry Ponomarev 0001 Balancing ILP and TLP in SMT Architectures through Out-of-Order Instruction Dispatch. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Brent Welch, Marc Unangst S13 - Cluster storage and file system technologies. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Saraju P. Mohanty, Elias Kougianos Modeling and Reduction of Gate Leakage during Behavioral Synthesis of NanoCMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Harald Widiger, Stephan Kubisch, Thomas Bahls, Dirk Timmermann An Integrated Hardware Solution for MAC Address Translation, MPLS, and Traffic Management in Access Networks. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chung-Ho Chen, Yi-Cheng Chung, Chen-Hua Wang, Han-Chiang Chen Design of a Giga-bit Hardware Accelerator for the iSCSI Initiator. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jen-Wei Yang, Po-Tsang Huang, Wei Hwang On-Chip DC-DC Converter with Frequency Detector for Dynamic Voltage Scaling Technology. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jung-Lin Yang, Hsu-Ching Tien, Chia-Ming Hsu, Sung-Min Lin High-Level Synthesis for Self-Timed Systems. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jordi Cortadella, Michael Kishinevsky, Bill Grundmann Synthesis of synchronous elastic architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF protocols, synthesis, latency-tolerance, latency-insensitive design
9Ruby B. Lee, Xiao Yang 0001, Zhijie Shi Single-Cycle Bit Permutations with MOMR Execution. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bit permutations, cryptographic acceleration, multi-word operation, datarich execution, MOMR, high performance secure computing, security, cryptography, permutation, processor, instruction set architecture, ISA
9Maria Athanasaki, Aristidis Sotiropoulos, Georgios Tsoukalas, Nectarios Koziris, Panayotis Tsanakas Hyperplane Grouping and Pipelined Schedules: How to Execute Tiled Loops Fast on Clusters of SMPs. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF tile grouping, loop tiling, hyperplanes, pipelined schedules, supernodes
9Koushik Maharatna, Swapna Banerjee, Eckhard Grass, Milos Krstic, Alfonso Troya Modified virtually scaling-free adaptive CORDIC rotator algorithm and architecture. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Xun Liu, Marios C. Papaefthymiou HyPE: hybrid power estimation for IP-based systems-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Lin Zhong 0001, Niraj K. Jha Interconnect-aware low-power high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Built-in sequential fault self-testing of array multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Carl-Johan H. Seger, Robert B. Jones, John W. O'Leary, Thomas F. Melham, Mark D. Aagaard, Clark W. Barrett, Don Syme An industrially effective environment for formal hardware verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license