|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10061 occurrences of 3641 keywords
|
|
|
Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Margarida F. Jacome, Gustavo de Veciana |
Lower bound on latency for VLIW ASIP datapaths. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Arun Raghupathy, K. J. Ray Liu |
A transformation for computational latency reduction in turbo-MAP decoding. |
ISCAS (4) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Stephen R. Ellis, Bernard D. Adelstein, S. Baumeler, G. J. Jense, Richard H. Jacoby |
Sensor Spatial Distortion, Visual Latency, and Update Rate Effects on 3D Tracking in Virtual Environments. |
VR |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Todd C. Mowry |
Tolerating Latency in Multiprocessors Through Compiler-Inserted Prefetching. |
ACM Trans. Comput. Syst. |
1998 |
DBLP DOI BibTeX RDF |
prefetching, compiler optimization |
15 | Leonidas I. Kontothanassis, Galen C. Hunt, Robert Stets, Nikos Hardavellas, Michal Cierniak, Srinivasan Parthasarathy 0001, Wagner Meira Jr., Sandhya Dwarkadas, Michael L. Scott |
VM-Based Shared Memory on Low-Latency, Remote-Memory-Access Networks. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
|
15 | Richard P. Martin, Amin Vahdat, David E. Culler, Thomas E. Anderson |
Effects of Communication Latency, Overhead, and Bandwidth in a Cluster Architecture. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
|
15 | Paul F. Cox, Richard Burch, Ping Yang 0001, Dale E. Hocevar |
New implicit integration method for efficient latency exploitation in circuit simulation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1989 |
DBLP DOI BibTeX RDF |
|
14 | Min Lee, A. S. Krishnakumar, Parameshwaran Krishnan, Navjot Singh 0001, Shalini Yajnik |
Supporting soft real-time tasks in the xen hypervisor. |
VEE |
2010 |
DBLP DOI BibTeX RDF |
enterprise telephony workloads, laxity, virtualization, xen, server consolidation |
14 | Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng 0001, Mishali Naik, Lixia Zhang 0001, Jason Cong |
A scalable micro wireless interconnect structure for CMPs. |
MobiCom |
2009 |
DBLP DOI BibTeX RDF |
on-chip wireless interconnection network, chip multiprocessors |
14 | UmaMaheswari C. Devi |
Scheduling Recurrent Precedence-Constrained Task Graphs on a Symmetric Shared-Memory Multiprocessor. |
Euro-Par |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Anne Benoit, Fanny Dufossé, Yves Robert |
On the complexity of mapping pipelined filtering services on heterogeneous platforms. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Heiner Ackermann, Petra Berenbrink, Simon Fischer 0001, Martin Hoefer 0001 |
Concurrent imitation dynamics in congestion games. |
PODC |
2009 |
DBLP DOI BibTeX RDF |
game theory, dynamics, adaptive routing, imitation |
14 | Micah Sherr, Matt Blaze, Boon Thau Loo |
Scalable Link-Based Relay Selection for Anonymous Routing. |
Privacy Enhancing Technologies |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout |
MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. |
HiPEAC |
2009 |
DBLP DOI BibTeX RDF |
|
14 | David Slogsnat, Alexander Giese, Mondrian Nüssle, Ulrich Brüning 0001 |
An open-source HyperTransport core. |
ACM Trans. Reconfigurable Technol. Syst. |
2008 |
DBLP DOI BibTeX RDF |
HTX, HyperTransport, FPGA, prototyping, RTL |
14 | Mahmoud A. Bennaser, Yao Guo 0001, Csaba Andras Moritz |
Data Memory Subsystem Resilient to Process Variations. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Addison Chan, Rynson W. H. Lau, Lewis W. F. Li |
Hand Motion Prediction for Distributed Virtual Environments. |
IEEE Trans. Vis. Comput. Graph. |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Zhi Zheng 0007, Edmond C. Prakash, Tony K. Y. Chan |
Interactive View-Dependent Rendering over Networks. |
IEEE Trans. Vis. Comput. Graph. |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Sumana Srinivasan, Krithi Ramamritham, Purushottam Kulkarni |
ACE in the Hole: Adaptive Contour Estimation Using Collaborating Mobile Sensors. |
IPSN |
2008 |
DBLP DOI BibTeX RDF |
collaboration, mobile, adaptive, sensors, estimation, contour |
14 | Martin Hoefer 0001, Alexander Souza |
The Influence of Link Restrictions on (Random) Selfish Routing. |
SAGT |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Shogo Okamoto, Masashi Konyo, Satoshi Saga, Satoshi Tadokoro |
Identification of cutaneous detection thresholds against time-delay stimuli for tactile displays. |
ICRA |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Lan S. Bai, Haris Lekatsas, Robert P. Dick |
Adaptive Filesystem Compression for Embedded Systems. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Caragiannis, Christos Kaklamanis, Panagiotis Kanellopoulos |
Improving the Efficiency of Load Balancing Games through Taxes. |
WINE |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Yi-Chun Wu, Chuan-Ming Liu, Jenq-Haur Wang |
Enhancing the Performance of Locating Data in Chord-Based P2P Systems. |
ICPADS |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Damodar Banodkar, K. K. Ramakrishnan, Shivkumar Kalyanaraman, Alexandre Gerber, Oliver Spatscheck |
Multicast instant channel change in IPTV systems. |
COMSWARE |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Vinayak Naik, Anish Arora, Prasun Sinha, Hongwei Zhang 0001 |
Sprinkler: A Reliable and Energy Efficient Data Dissemination Service for Extreme Scale Wireless Networks of Embedded Devices. |
IEEE Trans. Mob. Comput. |
2007 |
DBLP DOI BibTeX RDF |
wireless sensor networks, wireless, Network protocols, real-time systems and embedded systems |
14 | Xin Wang, Tapani Ahonen, Jari Nurmi |
Applying CDMA Technique to Network-on-Chip. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Mahmoud Ben Naser, Yao Guo 0001, Csaba Andras Moritz |
Designing Memory Subsystems Resilient to Process Variations. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Jason Cong, Guoling Han, Wei Jiang |
Synthesis of an application-specific soft multiprocessor system. |
FPGA |
2007 |
DBLP DOI BibTeX RDF |
clustering, multiprocessor, pipeline, labeling, design space |
14 | Cheng-Shong Wu, Ming-Ta Yang, Kao-Shing Hwang |
Fast-handoff schemes for inter-subnet handoffin IEEE 802.11 WLANs for SIP/RTP applications. |
IWCMC |
2007 |
DBLP DOI BibTeX RDF |
IAPP, IEEE 802.11, SIP, fast-handoff |
14 | Martin Hoefer 0001, Alexander Souza |
Tradeoffs and Average-Case Equilibria in Selfish Routing. |
ESA |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Sha Liu, Kai-Wei Fan, Prasun Sinha |
CMAC: An Energy Efficient MAC Layer Protocol Using Convergent Packet Forwarding for Wireless Sensor Networks. |
SECON |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yong Wei, Suchendra M. Bhandarkar, Kang Li 0001 |
Video personalization in resource-constrained multimedia environments. |
ACM Multimedia |
2007 |
DBLP DOI BibTeX RDF |
multiple-choice multi-dimensional knapsack problem, request aggregation, video personalization, video summarization |
14 | Gennaro Cordasco, Alberto Negro, Alessandra Sala, Vittorio Scarano |
PON: Exploiting Proximity on Overlay Networks. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Rahul Jain 0004, Preeti Ranjan Panda |
An Efficient Pipelined VLSI Architecture for Lifting-Based 2D-Discrete Wavelet Transform. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Xinbo Jiang, Farzad Safaei, Paul Boustead |
Enhancing the multicast performance of structured P2P overlay in supporting Massively Multiplayer Online Games. |
ICON |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Hung-Chuan Lai, Shi-Jinn Horng, Yong-Yuan Chen, Pingzhi Fan, Yi Pan 0001 |
A New Concurrent Detection of Control Flow Errors Based on DCT Technique. |
PRDC |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Jae Hyun Baek, Myung Hoon Sunwoo |
New degree computationless modified euclid algorithm and architecture for Reed-Solomon decoder. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Onur Mutlu, Hyesoon Kim, Yale N. Patt |
Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
value prediction, memory-level parallelism, runahead execution, Single data stream architectures |
14 | Deming Chen, Jason Cong, Junjuan Xu |
Optimal simultaneous module and multivoltage assignment for low power. |
ACM Trans. Design Autom. Electr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Data path generation, functional unit binding, level conversion, scheduling, high-level synthesis, low power design, power optimization, multiple voltage |
14 | Waheed Uz Zaman Bajwa, Jarvis D. Haupt, Akbar M. Sayeed, Robert D. Nowak |
Compressive wireless sensing. |
IPSN |
2006 |
DBLP DOI BibTeX RDF |
compressive sampling, uncoded communications, wireless sensor networks |
14 | Kiran Puttaswamy, Gabriel H. Loh |
Implementing Register Files for High-Performance Microprocessors in a Die-Stacked (3D) Technology. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Vladimir V. Mazalov, Burkhard Monien, Florian Schoppmann, Karsten Tiemann |
Wardrop Equilibria and Price of Stability for Bottleneck Games with Splittable Traffic. |
WINE |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Guang R. Gao, Thomas L. Sterling, Rick L. Stevens, Mark Hereld, Weirong Zhu |
Hierarchical multithreading: programming model and system software. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
14 | A. Rodríguez, A. González, Manuel P. Malumbres |
Hierarchical Parallelization of an H.264/AVC Video Encoder. |
PARELEC |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Sharma Chakravarthy, Vamshi Pajjuri |
Scheduling Strategies and Their Evaluation in a Data Stream Management System. |
BNCOD |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Kiran Puttaswamy, Gabriel H. Loh |
The impact of 3-dimensional integration on the design of arithmetic units. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Kyunghye Lee, Younghwan Lim, Seong-Jin Ahn 0001, Youngsong Mun |
A Macro Mobility Handover Performance Improvement Scheme for HMIPv6. |
ICCSA (2) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Sandeep B. Singh, Jayanta Biswas, S. K. Nandy 0001 |
A Cost Effective Pipelined Divider for Double Precision Floating Point Number. |
ASAP |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Hyon-Young Choi, Sung-Gi Min, Youn-Hee Han, Heejin Jang |
Semi-soft FMIPv6 for 802.11 Network. |
EUC |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Kiran Puttaswamy, Gabriel H. Loh |
Dynamic instruction schedulers in a 3-dimensional integration technology. |
ACM Great Lakes Symposium on VLSI |
2006 |
DBLP DOI BibTeX RDF |
3D technology, instruction scheduler |
14 | Ken C. K. Tsang, Roy S. C. Ho, Mark C. M. Tsang, Cho-Li Wang, Francis C. M. Lau 0001 |
An Adaptive Multipath Protocol for Efficient IP Handoff in Mobile Wireless Networks. |
AINA (1) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | John Kim, William J. Dally, Dennis Abts |
Interconnect routing and scheduling - Adaptive routing in high-radix clos network. |
SC |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Jinoo Joung, Dongha Shin, Feifei Feng, Hongkyu Jeong |
Instant Service Policy and Its Application to Deficit Round Robin. |
AAIM |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Martha Mercaldi, Steven Swanson, Andrew Petersen 0001, Andrew Putnam, Andrew Schwerin, Mark Oskin, Susan J. Eggers |
Instruction scheduling for a tiled dataflow architecture. |
ASPLOS |
2006 |
DBLP DOI BibTeX RDF |
instruction scheduling, dataflow, tiled architectures |
14 | André Seifert, Jen-Jou Hung |
FlexInd: A Flexible and Parameterizable Air-Indexing Scheme for Data Broadcast Systems. |
EDBT |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Kun-Bin Lee, Tzu-Chieh Lin, Chein-Wei Jen |
An Efficient Quality-Aware Memory Controller for Multimedia Platform SoC. |
IEEE Trans. Circuits Syst. Video Technol. |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Xinyuan Wang 0005, Shiping Chen 0003, Sushil Jajodia |
Tracking anonymous peer-to-peer VoIP calls on the internet. |
CCS |
2005 |
DBLP DOI BibTeX RDF |
VoIP tracing, anonymous VoIP calls, peer-to-peer, VoIP, anonymous communication |
14 | Onur Mutlu, Hyesoon Kim, Yale N. Patt |
Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. |
MICRO |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez |
Checkpointed Early Load Retirement. |
HPCA |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Zhong-Ching Lu, Ting-Chi Wang |
Concurrent flip-flop and buffer insertion with adaptive blockage avoidance. |
ASP-DAC |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Deming Chen, Jason Cong, Junjuan Xu |
Optimal module and voltage assignment for low-power. |
ASP-DAC |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Aline Mello 0001, Leonel Tedesco, Ney Calazans, Fernando Moraes 0001 |
Virtual channels in networks on chip: implementation and evaluation on hermes NoC. |
SBCCI |
2005 |
DBLP DOI BibTeX RDF |
performance, network-on-chip, virtual channel |
14 | Hyungmo Kang, Youngsong Mun |
Authentication in Fast Handover of Mobile IPv6 Applying AAA by Using Hash Value. |
MSN |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Ugo A. Buy, Houshang Darabi, Mihai Lehene, Vikram Venepally |
Supervisory Control of Time Petri Nets Using Net Unfolding. |
COMPSAC (2) |
2005 |
DBLP DOI BibTeX RDF |
|
14 | HyunGon Kim, ByeongKyun Oh |
Implementation of Credit-Control Authorization with Embedded Mobile IPv6 Authentication. |
Communications and Multimedia Security |
2005 |
DBLP DOI BibTeX RDF |
prepaid, authorization, Mobile IPv6, Mobile node |
14 | Jinyang Li 0001, Jeremy Stribling, Robert Tappan Morris, M. Frans Kaashoek, Thomer M. Gil |
A performance vs. cost framework for evaluating DHT design tradeoffs under churn. |
INFOCOM |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Vinayak Naik, Anish Arora, Prasun Sinha, Hongwei Zhang 0001 |
Sprinkler: A Reliable and Energy Efficient Data Dissemination Service for Wireless Embedded Devices. |
RTSS |
2005 |
DBLP DOI BibTeX RDF |
|
14 | HariGovind V. Ramasamy, Adnan Agbaria, William H. Sanders |
Parsimony-Based Approach for Obtaining Resource-Efficient and Trustworthy Execution. |
LADC |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Kuo-Su Hsiao, Chung-Ho Chen |
An efficient wakeup design for energy reduction in high-performance superscalar processors. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
issue window, wakeup logic, low power, high performance |
14 | Mohamed Kassab, Abdelfattah Belghith, Jean-Marie Bonnin, Sahbi Sassi |
Fast pre-authentication based on proactive key distribution for 802.11 infrastructure networks. |
WMuNeP |
2005 |
DBLP DOI BibTeX RDF |
IAPP, pre-authentication, re-authentication, handover, WiFi, IEEE 802.11i |
14 | Baruch Awerbuch, Yossi Azar, Amir Epstein |
The Price of Routing Unsplittable Flow. |
STOC |
2005 |
DBLP DOI BibTeX RDF |
game theory, nash equilibria, selfish routing, unsplittable flow |
14 | Weidong Shi, Hsien-Hsin S. Lee, Mrinmoy Ghosh, Chenghuai Lu, Alexandra Boldyreva |
High Efficiency Counter Mode Security Architecture via Prediction and Precomputation. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Chuang Liu 0006, Ian T. Foster |
Efficient and Robust Computation of Resource Clusters in the Internet. |
CLUSTER |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Martin Reisslein, Despina Saparilla, Keith W. Ross |
Periodic broadcasting with VBR-encoded video. |
Multim. Syst. |
2004 |
DBLP DOI BibTeX RDF |
Near video on demand, Prefetching, Statistical multiplexing, Variable bit rate video |
14 | Dongkeun Kim, Donald Yeung |
A study of source-level compiler algorithms for automatic construction of pre-execution code. |
ACM Trans. Comput. Syst. |
2004 |
DBLP DOI BibTeX RDF |
pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism |
14 | Arun Subbiah, Douglas M. Blough |
Distributed Diagnosis in Dynamic Fault Environments. |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
fault tolerance, synchronous systems, Distributed diagnosis, dynamic failures |
14 | Shahram Ghandeharizadeh, Bhaskar Krishnamachari, Shanshan Song |
Placement of continuous media in wireless peer-to-peer networks. |
IEEE Trans. Multim. |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau |
Caching Values in the Load Store Queue. |
MASCOTS |
2004 |
DBLP DOI BibTeX RDF |
|
14 | George Karakostas, Stavros G. Kolliopoulos |
The Efficiency of Optimal Taxes. |
CAAN |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Hiromasa Yoshimoto, Naoto Date, Daisaku Arita, Rin-Ichiro Taniguchi |
Confidence-Driven Architecture for Real-time Vision Processing and Its Application to Efficient Vision-Based Human Motion Sensing. |
ICPR (1) |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Weikuan Yu, Darius Buntinas, Richard L. Graham, Dhabaleswar K. Panda 0001 |
Efficient and Scalable Barrier over Quadrics and Myrinet with a New NIC-Based Collective Message Passing Protocol. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Seung-Hee Hwang, Youn-Hee Han, Sung-Gi Min, Chong-Sun Hwang |
An Address Configuration and Confirmation Scheme for Seamless Mobility Support in IPv6 Network. |
WWIC |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Mathieu Lacage, Mohammad Hossein Manshaei, Thierry Turletti |
IEEE 802.11 rate adaptation: a practical approach. |
MSWiM |
2004 |
DBLP DOI BibTeX RDF |
ARF, MADWIFI, PHY rate selection, RBAR, IEEE 802.11 |
14 | Ali Diab 0001, Andreas Mitschele-Thiel, Jingan Xu |
Performance analysis of the mobile IP fast authentication protocol. |
MSWiM |
2004 |
DBLP DOI BibTeX RDF |
local mobility, wireless LAN, mobility management, mobile IP |
14 | Soonhak Kwon, Chang Hoon Kim, Chun Pyo Hong |
A Linear Systolic Array for Multiplication in GF(2m) for High Speed Cryptographic Processors. |
ICCSA (4) |
2004 |
DBLP DOI BibTeX RDF |
Riemann Hypothesis, Artins conjecture for primitive roots, systolic array, Finite field multiplier, all one polynomial |
14 | Rui Yan, Jiwu Shu, Dongchan Wen |
An Implementation of Semi-synchronous Remote Mirroring System for SANs. |
GCC Workshops |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Heon C. Kim, Hong Shin Jun, Xinli Gu, Sung Soo Chung |
At-Speed Interconnect Test and Diagnosis of External Memories on a System. |
ITC |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Gang Shi, Mingchang Hu, Hongda Yin, Weiwu Hu, Zhimin Tang |
A shared virtual memory network with fast remote direct memory access and message passing. |
CLUSTER |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Pasquale Cocchini |
A methodology for optimal repeater insertion in pipelined interconnects. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Nathan Ota, Dan Hooks, Paul K. Wright, David M. Auslander, Therese Peffer |
Wireless sensor network characterization - application to demand response energy pricing. |
SenSys |
2003 |
DBLP DOI BibTeX RDF |
advanced building control, demand response energy pricing |
14 | Bradford M. Beckmann, David A. Wood 0001 |
TLC: Transmission Line Caches. |
MICRO |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Motohiko Matsuda, Tomohiro Kudoh, Yutaka Ishikawa |
Evaluation of MPI Implementations on Grid-connected Clusters using an Emulated WAN Environmen. |
CCGRID |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Sadhna Ahuja, Tao Wu, Sudhir S. Dixit |
On the Effects of Content Compression on Web Cache Performance. |
ITCC |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Praveen Bhojwani, Rabi N. Mahapatra |
Interfacing Cores with On-chip Packet-Switched Networks. |
VLSI Design |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Robert H. Bell Jr., Lizy Kurian John |
Interface Design Techniques for Single-Chip Systems. |
VLSI Design |
2003 |
DBLP DOI BibTeX RDF |
|
14 | Gang Qu 0001, Miodrag Potkonjak |
Techniques for energy-efficient communication pipeline design. |
IEEE Trans. Very Large Scale Integr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
14 | Cyrus Shahabi, Farnoush Banaei Kashani |
Decentralized Resource Management for a Distributed Continuous Media Server. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
Distributed continuous media servers, decentralized resource management, video-on-demand, distributed information systems, content delivery networks, distributed multimedia systems |
14 | Cyrus Shahabi, Farnoush Banaei Kashani |
Decentralized Resource Management for a Distributed Continuous Media Server. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
Distributed continuous media servers, decentralized resource management, video-on-demand, distributed information systems, content delivery networks, distributed multimedia systems |
14 | Yücel Saygin, Özgür Ulusoy |
Exploiting Data Mining Techniques for Broadcasting Data in Mobile Computing Environments. |
IEEE Trans. Knowl. Data Eng. |
2002 |
DBLP DOI BibTeX RDF |
broadcast histories, broadcast organization, data mining, prefetching, mobile databases, Broadcast disks |
|
|