The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Per Bjesse Word-Level Sequential Memory Abstraction for Model Checking. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Praveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest Coffee: COmpiler Framework for Energy-Aware Exploration. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Pascal Felber, Christof Fetzer, Torvald Riegel Dynamic performance tuning of word-based software transactional memory. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic tuning, transactional memory
12Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
12Lisa Higham, LillAnne Jackson, Jalal Kawash Specifying memory consistency of write buffer multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha
12Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Analysis and Test of Resistive-Open Defects in SRAM Pre-Charge Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Resistive-open defects, Pre-charge circuits, Memory testing, Dynamic faults
12Rei-Fu Huang, Jin-Fu Li 0001, Jen-Chieh Yeh, Cheng-Wen Wu Raisin: Redundancy Analysis Algorithm Simulation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF repair rate, BIRA, Raisin, yield, BISR, redundancy analysis, algorithm simulation
12Danna Voth, Sara Reese Hedberg In the News. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF life annotation, MyLifeBits, LifeBrowser, machine learning, pattern recognition, artificial intelligence, healthcare
12Kevin Marquet, Gilles Grimaud A DSL approach for object memory management of small devices. Search on Bibsonomy PPPJ The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Nagender Bandi, Ahmed Metwally 0001, Divyakant Agrawal, Amr El Abbadi TCAM-conscious Algorithms for Data Streams. Search on Bibsonomy ICDE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Nicolas Bruchon, Lionel Torres, Gilles Sassatelli, Gaston Cambon Technological hybridization for efficient runtime reconfigurable FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Sujan Pandey, Christian Genz, Rolf Drechsler Co-synthesis of custom on-chip bus and memory for MPSoC architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Bita Gorjiara, Daniel Gajski FPGA-friendly code compression for horizontal microcoded custom IPs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dictionary-based compression, microcoded architectures, no-instruction-set computer, FPGA, memory optimization
12Brad Matthews, Itamar Elhanany, Vahid Tabatabaee Accelerated Packet Placement Architecture for Parallel Shared Memory Routers. Search on Bibsonomy Networking The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mihir R. Choudhury, Kyle Ringgenberg, Scott Rixner, Kartik Mohanram Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, James C. Hoe Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kristopher D. Peterson, Justin L. Tripp Effective Automatic Memory Allocation Algorithm Based on Schedule Length in Cycles in a Novel C to FPGA Compiler. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Zhong Zhang 0005, Takuma Akiduki, Tetsuo Miyake, Takashi Imamura Multi-Valued Cellular Neural Networks and Its Application for Associative Memory. Search on Bibsonomy ISNN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Siddharth Choudhuri, Tony Givargis Performance improvement of block based NAND flash translation layer. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF block mapping, storage, NAND flash
12Elisa Rubegni, Sandro Gerardi, Maurizio Caporali Mobile applications for helping users to keep track of their travel experience. Search on Bibsonomy ECCE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interaction design, tangible user interface, mobile technology
12Dong Wang, Xiaowen Chen, Shuming Chen, Xing Fang, Shuwei Sun FCC-SDP: A Fast Close-Coupled Shared Data Pool for Multi-core DSPs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ivan Villaverde, Manuel Graña, J. L. Jimenez-Bascones Lattice Independence and Vision Based Mobile Robot Navigation. Search on Bibsonomy KES (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Lattice, Machine Vision, Robot Navigation, Morphological Neural Networks
12Costas Argyrides, Hamid R. Zarandi, Dhiraj K. Pradhan Multiple Upsets Tolerance in SRAM Memory. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Giovanni Beltrame, Cristiana Bolchini, Luca Fossati, Antonio Miele, Donatella Sciuto A Framework for Reliability Assessment and Enhancement in Multi-Processor Systems-On-Chip. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Avijit Dutta, Nur A. Touba Multiple Bit Upset Tolerant Memory Using a Selective Cycle Avoidance Based SEC-DED-DAEC Code. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yuri Nishikawa, Michihiro Koibuchi, Masato Yoshimi, Kenichi Miura, Hideharu Amano Performance Improvement Methodology for ClearSpeed's CSX600. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Gergely Papp Place-Field and Memory Formation in the Hippocampus. Search on Bibsonomy Summer School on Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dentate gyrus, mossy fibers, competitive learning, Hippocampus
12Shyue-Kung Lu, Chih-Hsien Hsu Fault tolerance techniques for high capacity RAM. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hyoung-Il Lee, Seung-Woo Seo Matching output queueing with a multiple input/output-queued switch. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF combined input/output-queued (CIOQ) switch, multiple input/output-queued (MIOQ) switch, output queueing emulation, parallel switching architecture
12Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov Min-cut floorplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Manish Verma, Lars Wehmeyer, Peter Marwedel Cache-Aware Scratchpad-Allocation Algorithms for Energy-Constrained Embedded Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Natasa Miskov-Zivanov, Diana Marculescu Circuit Reliability Analysis Using Symbolic Techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Markus Seuring Combining Scan Test and Built-in Self Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MBIST, BIST, scan test, production test, stress test
12D.-L. Lee Improvements of Complex-Valued Hopfield Associative Memory by Using Generalized Projection Rules. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Daniele Casali, Giovanni Costantini, Renzo Perfetti, Elisa Ricci 0001 Associative Memory Design Using Support Vector Machines. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hartwig Jeschke Design Space Expoloration Chip Size Estimation for SOC Design Space Exploration. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ali R. Iranpour, Krzysztof Kuchcinski Memory Architecture Evaluation for Video Encoding on Enhanced Embedded Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Gaurav Mathur, Peter Desnoyers, Deepak Ganesan, Prashant J. Shenoy Capsule: an energy-optimized object storage system for memory-constrained sensor devices. Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor network, embedded systems, energy efficiency, file system, objects, flash memory, storage system
12Isabelle Puaut WCET-Centric Software-controlled Instruction Caches for Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Guangyu Chen, Feihui Li, Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu Leakage-Aware SPM Management. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Guilin Chen, Ozcan Ozturk 0001, Mahmut T. Kandemir, Mustafa Karaköy Dynamic scratch-pad memory management for irregular array access patterns. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Masahide Miyazaki, Tomokazu Yoneda, Hideo Fujiwara A memory grouping method for sharing memory BIST logic. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hans Georg Schaathun, Gérard D. Cohen Nested Codes for Constrained Memory and for Dirty Paper. Search on Bibsonomy AAECC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dirty paper, constrained memory, nested codes, covering codes
12Scott Y. L. Chin, Clarence S. P. Lee, Steven J. E. Wilton Power Implications of Implementing Logic Using FPGA Embedded Memory Arrays. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tom Van Court, Martin C. Herbordt Application-Specific Memory Interleaving for FPGA-Based Grid Computations: A General Design Technique. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Chih-Yuan Lu Non-volatile Semiconductor Memory Technology in Nanotech Era. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mohamed Azimane High-Quality Memory Test. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Antonis Papanikolaou, T. Grabner, Miguel Miranda, Philippe Roussel, Francky Catthoor Yield prediction for architecture exploration in nanometer technology nodes: : a model and case study for memory organizations. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system exploration, process variability, parametric yield
12Michael DeLorimier, Nachiket Kapre, Nikil Mehta, Dominic Rizzo, Ian Eslick, Raphael Rubin, Tomás E. Uribe, Thomas F. Knight Jr., André DeHon GraphStep: A System Architecture for Sparse-Graph Algorithms. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Joanna Berzowska, Marcelo Coelho SMOKS: the memory suits. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical touch, reactive garments, wearable computing, electronic textiles
12Ahmed Abdelkhalek 0002, Tarek S. Abdelrahman Locality management using multiple SPMs on the Multi-Level Computing Architecture. Search on Bibsonomy ESTIMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Panagiotis D. Vouzis, Mark G. Arnold A parallel search algorithm for CLNS addition optimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rochit Rajsuman Towards The Methodology of On-line Diagnosis. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yuejian Wu, André Ivanov Low Power SoC Memory BIST. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Makoto Sugihara, Tohru Ishihara, Masanori Muroyama, Koji Hashimoto A Simulation-Based Soft Error Estimation Methodology for Computer Systems. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reliability, Estimation, Soft Error, Computer Systems, Instruction-Set Simulation
12Ozcan Ozturk 0001, Mahmut T. Kandemir, Ibrahim Kolcu Shared Scratch-Pad Memory Space Management. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Riad Ben Mouhoub, Omar Hammami System-Level Design Methodology with Direct Execution For Multiprocessors on SoPC. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Minh Quang Do, Mindaugas Drazdziulis, Per Larsson-Edefors, Lars Bengtsson Parameterizable Architecture-Level SRAM Power Model Using Circuit-Simulation Backend for Leakage Calibration. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jason Fleischer Neural Correlates of Anticipation in Cerebellum, Basal Ganglia, and Hippocampus. Search on Bibsonomy SAB ABiALS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12José Antonio Ruz Hernández, Edgar N. Sánchez, Dionisio A. Suarez Designing an Associative Memory via Optimal Training for Fault Diagnosis. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yu-Ying Hsiao, Chao-Hsun Chen, Cheng-Wen Wu A Built-In Self-Repair Scheme for NOR-Type Flash Memory. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ivan Villaverde, Manuel Graña, Alicia D'Anjou Morphological Neural Networks and Vision Based Mobile Robot Navigation. Search on Bibsonomy ICANN (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Wonjae Lee, Seongjoo Lee, Jaeseok Kim An Efficient Demosaiced Image Enhancement Method for a Low Cost Single-Chip CMOS Image Sensor. Search on Bibsonomy PSIVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Single-chip CMOS image sensor, image signal processor, image enhancement, demosaicing
12Jason E. Miller, Anant Agarwal Software-based instruction caching for embedded processors. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction cache, chaining, software caching
12Kazunori Shimizu, Nozomu Togawa, Takeshi Ikenaga, Satoshi Goto Memory-Efficient Accelerating Schedule for LDPC Decoder. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sarabjot S. Anand, Bamshad Mobasher Contextual Recommendation. Search on Bibsonomy WebMine The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ismail Kadayif, Mahmut T. Kandemir Data space-oriented tiling for enhancing locality. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Software compilation, array-intensive applications, iteration space tiling, data locality, scratch pad memory
12Dai Kobayashi, Akitsugu Watanabe, Ryo Taguchi, Toshihiro Uehara, Haruo Yokota An Efficient Access Forwarding Method Based On Caches On Storage Nodes. Search on Bibsonomy ICDE Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin BB-GC: Basic-Block Level Garbage Collection. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Malay K. Ganai, Aarti Gupta, Pranav Ashar Verification of Embedded Memory Systems using Efficient Memory Modeling. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Claus-Peter Wirth History and Future of Implicit and Inductionless Induction: Beware the Old Jade and the Zombie! Search on Bibsonomy Mechanizing Mathematical Reasoning The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz Circuit Independent Weighted Pseudo-Random BIST Pattern Generator. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Petra Gemeinboeck, Mary Agnes Krell Art exhibition: impossible geographies 01. Search on Bibsonomy ACM Multimedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performativity, memory, presence, narrative, mirror
12Yanbin Luo, Kannappan Palaniappan, Yongming Li 0001 New Algorithms of Neural Fuzzy Relation Systems with Min-implication Composition. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Oswaldo Castillo Navetty, Nada Matta A Knowledge Acquisition System for the French Textile and Apparel Institute. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Guangyu Chen, Mahmut T. Kandemir Dataflow analysis for energy-efficient scratch-pad memory management. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scratch pad memory (SPM), compiler, data flow analysis
12Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi Tile-based design of a serial memory in QCA. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quantum computing, memory architecture, emerging technologies, QCA
12Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mohamed Azimane, Ananta K. Majhi, Guido Gronthoud, Maurice Lousberg A New Algorithm for Dynamic Faults Detection in RAMs. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yong Ho Song, Eul-Gyu Im Secure Contents Distribution Using Flash Memory Technology. Search on Bibsonomy ISI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Pat Langley An Adaptive Architecture for Physical Agents. Search on Bibsonomy Web Intelligence The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Julian Szymanski, Tomasz Sarnatowicz, Wlodzislaw Duch Semantic Memory for Avatars in Cyberspace. Search on Bibsonomy CW The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir Energy management schemes for memory-resident database systems. Search on Bibsonomy CIKM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware energy scheme, multiquery optimization, query-directed energy management, database, energy, power consumption, DRAM
12Andrea Lodi 0002, Roberto Giansante, Carlo Chiesa, Luca Ciccarelli, Mario Toma, Fabio Campi Routing architecture for multi-context FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar, Tiehan Lv A Case Study in Networks-on-Chip Design for Embedded Video. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kimish Patel, Enrico Macii, Massimo Poncino Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hamid R. Zarandi, Seyed Ghassem Miremadi A Highly Fault Detectable Cache Architecture for Dependable Computing. Search on Bibsonomy SAFECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Lukai Cai, Haobo Yu, Daniel Gajski A novel memory size model for variable-mapping in system level design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Peter Marwedel, Lars Wehmeyer, Manish Verma, Stefan Steinke, Urs Helmig Fast, predictable and low energy memory references through architecture-aware compilation. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Said Hamdioui, John Delos Reyes, Zaid Al-Ars Evaluation of Intra-Word Faults in Word-Oriented RAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Bastian Hage-Hassan Resistive-Open Defects in Embedded-SRAM Core Cells: Analysis and March Test Solution. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yon Dourisboure Compact Routing Schemes for Bounded Tree-Length Graphs and for k-Chordal Graphs. Search on Bibsonomy DISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF tree-length, chordality, compact routing, tree-decomposition
12Shyue-Kung Lu, Shih-Chang Huang Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12N. Derhacobian, Valery A. Vardanian, Yervant Zorian Embedded Memory Reliability: The SER Challenge. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rita Zappa, Carolina Selva, Danilo Rimondi, Cosimo Torelli, M. Crestan, Giovanni Mastrodomenico, Lara Albani Micro Programmable Built-In Self Repair for SRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chi-kin Chow, Hung-Tat Tsui Sequential RBF function estimator: memory regression network. Search on Bibsonomy SMC (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov Unification of partitioning, placement and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Marios N. Avraamides, Jonathan W. Kelly Imagined Perspective-Changing Within and Across Novel Environments. Search on Bibsonomy Spatial Cognition The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir Reducing energy consumption of queries in memory-resident database systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware schemes, query-directed energy management, database, mapping, query optimization, energy, power consumption, layouts, DRAM
Displaying result #701 - #800 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license