The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Y. Abulafia, Avner Kornfeld Estimation of FMAX and ISB in microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Prabhat Mishra 0001, Nikil D. Dutt, Narayanan Krishnamurthy, Magdy S. Abadir A methodology for validation of microprocessors using symbolic simulation. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Rebecca M. Gott, Jason Baumgartner, Paul Roessler, S. I. Joe Functional formal verification on designs of pSeries microprocessors and communication subsystems. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Nathan T. Slingerland, Alan Jay Smith Multimedia extensions for general purpose microprocessors: a survey. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kunle Olukotun, Lance Hammond The future of microprocessors. Search on Bibsonomy ACM Queue The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Moinuddin K. Qureshi, Onur Mutlu, Yale N. Patt Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Steven A. Guccione Microprocessors: The New LUT. Search on Bibsonomy ERSA The full citation details ... 2005 DBLP  BibTeX  RDF
14Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Dynamic Instruction Cascading on GALS Microprocessors. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Jinwen Xi, Peixin Zhong Fast Energy Estimation of Multi-processor System-on-Chip with Energy Macro-Models for Embedded Microprocessors. Search on Bibsonomy MSV The full citation details ... 2005 DBLP  BibTeX  RDF
14Fred A. Bower, Daniel J. Sorin, Sule Ozev A Mechanism for Online Diagnosis of Hard Faults in Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Prashant Saxena, Kumar N. Lalgudi, Hans J. Greub, Janet Meiling Wang Roveda A perturbation-aware noise convergence methodology for high frequency microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Himyanshu Anand, Jayanta Bhadra, Alper Sen 0001, Magdy S. Abadir, Kenneth G. Davis Establishing latch correspondence for embedded circuits of PowerPC microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kedarnath J. Balakrishnan, Nur A. Touba, Srinivas Patil Compressing Functional Tests for Microprocessors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Hector Arteaga, Hussain Al-Asaad On Increasing the Observability of Modern Microprocessors. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
14Carlos García 0001, Christian Tenllado, Luis Piñuel, Manuel Prieto 0001 JPEG2000 Optimization in General Purpose Microprocessors. Search on Bibsonomy PARCO The full citation details ... 2005 DBLP  BibTeX  RDF
14Guoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson 0001, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi Electrical and optical on-chip interconnects in scaled microprocessors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ruby B. Lee, Peter C. S. Kwan, John Patrick McGregor, Jeffrey S. Dwoskin, Zhenghong Wang Architecture for Protecting Critical Secrets in Microprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Lieven Eeckhout, Koen De Bosschere Efficient architectural design of high performance microprocessors. Search on Bibsonomy Adv. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Nikolaos Kavvadias, Periklis Neofotistos, Spiridon Nikolaidis 0001, C. A. Kosmatopoulos, Theodore Laopoulos Measurements analysis of the software-related power consumption in microprocessors. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Thomas Hauser, Timothy Mattox, Raymond P. LeBeau, Henry G. Dietz, P. George Huang Code Optimizations for Complex Microprocessors Applied to CFD Software. Search on Bibsonomy SIAM J. Sci. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Victor V. Zyuban, David M. Brooks, Viji Srinivasan, Michael Gschwind, Pradip Bose, Philip N. Strenski, Philip G. Emma Integrated Analysis of Power and Performance for Pipelined Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Howard Falk Decisive Aspects in the Evolution of Microprocessors. Search on Bibsonomy Proc. IEEE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Dezsö Sima Decisive aspects in the evolution of microprocessors. Search on Bibsonomy Proc. IEEE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Tao Jiang, Eric Pettus, Daksh Lehther A mixed-mode extraction flow for high performance microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Brian F. Veale, John K. Antonio, Monte P. Tull Code Re-ordering for a Class of Reconfigurable Microprocessors. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Timothy J. Dysart, Branden J. Moore, Lambert Schaelicke, Peter M. Kogge Cache implications of aggressively pipelined high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Fernando Cortez Sica, Claudionor José Nunes Coelho Jr., José Augusto Miranda Nacif, Harry Foster, Antônio Otávio Fernandes Exception handling in microprocessors using assertion libraries. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF exceptions handling, assertions
14Nam Sung Kim, Taeho Kgil, Valeria Bertacco, Todd M. Austin, Trevor N. Mudge Microarchitectural power modeling techniques for deep sub-micron microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power modeling, deep sub-micron
14Bryan Black, Donald Nelson, Clair Webb, Nick Samra 3D Processing Technology and Its Impact on iA32 Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev A new generation of ISCAS benchmarks from formal verification of high-level microprocessors. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
14Shubhendu S. Mukherjee, Joel S. Emer, Tryggve Fossum, Steven K. Reinhardt Cache Scrubbing in Microprocessors: Myth or Necessity? Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Siva G. Narendra, Vasantha Erraguntla, James W. Tschanz, Nitin Borkar Design Challenges in Sub-100nm High Performance Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Raman Srinivas Building Giga-Transistor [Enterprise] Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, Jude A. Rivers The Case for Lifetime Reliability-Aware Microprocessors. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Formal online methods for voltage/frequency control in multiple clock domain microprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MCD processors, formal methods, dynamic voltage/frequency scaling
14Arman Vassighi, Ali Keshavarzi, Siva G. Narendra, Gerhard Schrom, Yibin Ye, Seri Lee, Greg Chrysler, Manoj Sachdev, Vivek De Design optimizations for microprocessors at low temperature. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal modeling, low temperature, refrigeration, power, microprocessor, CMOS, frequency, cooling
14James W. Tschanz, Siva G. Narendra, Yibin Ye, Bradley A. Bloechel, Shekhar Borkar, Vivek De Dynamic sleep transistor and body bias for active leakage power control of microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14James W. Tschanz, Siva G. Narendra, Raj Nair, Vivek De Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Siva G. Narendra, Ali Keshavarzi, Bradley A. Bloechel, Shekhar Borkar, Vivek De Forward body bias for microprocessors in 130-nm technology generation and beyond. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14David M. Brooks, Pradip Bose, Viji Srinivasan, Michael Gschwind, Philip G. Emma, Michael G. Rosenfield New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Gustavo Liñán, Servando Espejo-Meana, Rafael Domínguez-Castro, Ángel Rodríguez-Vázquez An Improved Elementary Processing Unit For High-Density CNN-Based Mixed-Signal Microprocessors For Vision. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14S. Belhardj, S. Mimouni, Abdelkader Saïdane, M. Benzohra Using microchannels to cool microprocessors: a transmission-line-matrix study. Search on Bibsonomy Microelectron. J. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Alain J. Martin, Mika Nyström, Catherine G. Wong Three Generations of Asynchronous Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Alex Orailoglu, Alexander V. Veidenbaum Guest Editors' Introduction: Application-Specific Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  BibTeX  RDF
14Miroslav N. Velev, Randal E. Bryant Effective use of Boolean satisfiability procedures in the formal verification of superscalar and VLIW microprocessors. Search on Bibsonomy J. Symb. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Prabhat Mishra 0001, Nikil D. Dutt A Methodology for Validation of Microprocessors using Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Yu Bai 0001, R. Iris Bahar A Dynamically Reconfigurable Mixed In-Order/Out-of-Order Issue Queue for Power-Aware Microprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Joydeep Ray, James C. Hoe High-level modeling and FPGA prototyping of microprocessors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operation-centric, FPGA, evaluation, prototyping, microprocessor, microarchitecture
14Fulvio Corno, Giovanni Squillero, Matteo Sonza Reorda Code generation for functional validation of pipelined microprocessors. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Toshihiro Hattori Design methodology of low-power microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14David G. Malham Cutting the cord - In-circuit programmable microprocessors and RF data links free the performer from cables. Search on Bibsonomy ICMC The full citation details ... 2003 DBLP  BibTeX  RDF
14Mauro Olivieri, Marco Raspa Power Efficiency of Application-Dependent Self-Configuring Pipeline Depth in DSP Microprocessors. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Feng-Jiann Shiao, Jong-Jiann Shieh An Issue Logic for Superscalar Microprocessors. Search on Bibsonomy CAINE The full citation details ... 2003 DBLP  BibTeX  RDF
14Shyh-Ming Huang, Ing-Jer Huang, Chung-Fu Kao Reconfigurable real-time address trace compressor for embedded microprocessors. Search on Bibsonomy FPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Giorgos Dimitrakopoulos, Xrysovalantis Kavousianos, Dimitris Nikolos Virtual-scan: a novel approach for software-based self-testing of microprocessors. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14John Mayega, Okan Erdogan, Paul M. Belemjian, Kuan Zhou, John F. McDonald 0001, Russell P. Kraft 3D direct vertical interconnect microprocessors test vehicle. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SiGe HBT, direct vertical integration, finite state machine, interconnect, microprocessor, adder, register file, 3D integration, current mode logic
14Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Thermal Management of High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14 A VLSI System Perspective for Microprocessors Beyond 90nm. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Thomas Schubert High level formal verification of next-generation microprocessors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF formal property verification
14Naehyuck Chang, Kwanho Kim, Hyung Gyu Lee Cycle-accurate energy measurement and characterization with a case study of the ARM7TDMI [microprocessors]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Daniel Etiemble Computer arithmetic and hardware: "off the shelf" microprocessors versus "custom hardware". Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Gang Qu 0001, Naoyuki Kawabe, Kimiyoshi Usami, Miodrag Potkonjak Code Coverage-Based Power Estimation Techniques for Microprocessors. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Ing-Jer Huang, Chung-Fu Kao, Hsin-Ming Chen, Ching-Nan Juan, Tai-An Lu A Retargetable Embedded In-Circuit Emulation Module for Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Tamás Roska, Ángel Rodríguez-Vázquez Toward visual microprocessors. Search on Bibsonomy Proc. IEEE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Stephen B. Furber Validating the AMULET Microprocessors. Search on Bibsonomy Comput. J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Nobuo Hataoka, Hiroaki Kokubo, Yasunari Obuchi, Akio Amano Compact and robust speech recognition for embedded use on microprocessors. Search on Bibsonomy IEEE Workshop on Multimedia Signal Processing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev Using Rewriting Rules and Positive Equality to Formally Verify Wide-Issue Out-of-Order Microprocessors with a Reorder Buffer. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Prabhat Mishra 0001, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14José F. Martínez, Jose Renau, Michael C. Huang 0001, Milos Prvulovic, Josep Torrellas Cherry: checkpointed early resource recycling in out-of-order microprocessors. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Bob Bentley High level validation of next-generation microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Veerle Desmet, Bart Goeman, Koenraad De Bosschere Independent Hashing as Confidence Mechanism for Value Predictors in Microprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David T. Blaauw Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Chris H. Kim, Kaushik Roy 0001 Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Oguz Ergin, Kanad Ghose, Gurhan Kucuk, Dmitry Ponomarev 0001 A Circuit-Level Implementation of Fast, Energy-Efficient CMOS Comparators for High-Performance Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Edith Kussener, Hervé Barthélemy, Alexandre Malherbe, Andreas Kaiser Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Mike Mayberry, John Johnson, Navid Shahriari, Mike Tripp Realizing the Benefits of Structural Test for Intel Microprocessors. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Grady Giles Is Scan (Alone) Sufficient to Test Today?s Microprocessors? Not Quite, but We Can?t Get the Job Done Without It. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Tanay Karnik, Yibin Ye, James W. Tschanz, Liqiong Wei, Steven M. Burns, Venkatesh Govindarajulu, Vivek De, Shekhar Borkar Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dual-Vt design, multiple threshold, optimization, sizing
14Thomas Lundqvist A WCET Analysis Method for Pipelined Microprocessors with Cache Memories. Search on Bibsonomy 2002   RDF
14Phillip J. Restle, Timothy G. McNamara, David A. Webber, Peter J. Camporese, Kwok F. Eng, Keith A. Jenkins, David H. Allen, Michael J. Rohn, Michael P. Quaranta, David W. Boerstler, Charles J. Alpert, Craig A. Carter, Roger N. Bailey, John G. Petrovick, Byron L. Krauter, Bradley D. McCredie A clock distribution network for microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14R.-Ming Shiu, Hui-Yue Hwang, Jean Jyh-Jiun Shann Aggressive Schduling for Memory Accesses of CISC Superscalar Microprocessors. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2001 DBLP  BibTeX  RDF
14Marius Evers, Tse-Yu Yeh Understanding branches and designing branch predictors for high-performance microprocessors. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Daniel Kröning Formal verification of pipelined microprocessors. Search on Bibsonomy 2001   RDF
14Joel B. Nickel, Arun K. Somani REESE: A Method of Soft Error Detection in Microprocessors. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Gurindar S. Sohi Microprocessors - 10 Years Back, 10 Years Ahead. Search on Bibsonomy Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Sinan Kaptanoglu, John East, Tim Garverick, Scott Hauck, Tavana Tavana, Steven Trimberger, Ronnie Vasishta Is marriage in the cards for programmable logic, microprocessors and ASICs? Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Jing Zeng, Magdy S. Abadir, Jayanta Bhadra, Jacob A. Abraham Full chip false timing path identification: applications to the PowerPCTM microprocessors. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Milo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, Mikko H. Lipasti Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Eric Rotenberg Using variable-MHz microprocessors to efficiently handle uncertainty in real-time systems. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Phillip J. Restle, Albert E. Ruehli, Steven G. Walker Multi-GHz interconnect effects in microprocessors. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF full-wave analysis, simulation, interconnect, inductance, extraction, clock distribution, circuit-tuning
14James W. Tschanz, Siva G. Narendra, Zhanping Chen, Shekhar Borkar, Manoj Sachdev, Vivek De Comparative delay and energy of single edge-triggered & dual edge-triggered pulsed flip-flops for high-performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual edge, low power, flip-flops, clocking, triggered, latches
14Russ Joseph, Margaret Martonosi Run-time power estimation in high performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Kamran Zarrineh, Thomas A. Ziaja, Amitava Majumdar 0002 Automatic Generation and Validation of Memory Test Models for High Performance Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Yan Solihin, Kirk W. Cameron, Yong Luo, Dominique Lavenier, Maya B. Gokhale Mutable Functional Units and Their Applications on Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Alessandro De Gloria, Mauro Olivieri An application specific multi-port RAM cell circuit for register renaming units in high speed microprocessors. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Cristiana Bolchini, Fabio Salice, Donatella Sciuto Designing Reliable Embedded Systems Based on 32 Bit Microprocessors. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Daniel Kröning Formal verification of pipelined microprocessors. Search on Bibsonomy Ausgezeichnete Informatikdissertationen The full citation details ... 2001 DBLP  BibTeX  RDF
Displaying result #701 - #800 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license