The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
15Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches
15Wade Walker, Harvey G. Cragon Interrupt Processing in Concurrent Processors. Search on Bibsonomy Computer The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Interrupt processing, imprecise interrupts, concurrent processors, checkpointing, taxonomy, superscalar processors, pipelined processors, out- of-order execution, out-of-order issue, precise interrupts
15Pohua P. Chang, Nancy J. Warter, Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu Three Architecutral Models for Compiler-Controlled Speculative Execution. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static code scheduling, superpipelining, exception handling, speculative execution, superscalar, Conditional branches, superblock
15Soo-Mook Moon, Scott D. Carson Generalized Multiway Branch Unit for VLIW Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generalized multiway branching, VLIW microprocessor, condition tree, mirror normalization, VLIW compiler, Instruction-level parallelism, superscalar microprocessor
15Eric Hao, Po-Yung Chang, Yale N. Patt The effect of speculatively updating branch history on branch prediction accuracy, revisited. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction
15Michael Upton, Thomas Huff, Trevor N. Mudge, Richard B. Brown Resource Allocation in a High Clock Rate Microprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF floating point latencies, nonblocking cache, resource allocation, pipelining, prefetching, superscalar, decoupled architecture
15William Y. Chen, Pohua P. Chang, Thomas M. Conte, Wen-mei W. Hwu The Effect of Code Expanding Optimizations on Instruction Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF code expanding optimizations, instruction placement, function inline expansion, superscalar optimizations, small caches, medium caches, load forwarding, large caches, C compiler, code expansion, optimisation, cache memory, memory architecture, buffer storage, instruction cache, code optimization, cache design, miss ratio
15Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu Speculative execution exception recovery using write-back suppression. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar
15Richard E. Hank, Scott A. Mahlke, Roger A. Bringmann, John C. Gyllenhaal, Wen-mei W. Hwu Superblock formation using static program analysis. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF optimization, VLIW, superscalar, static program analysis, superblock, code scheduling
15Mark Smotherman, Shuchi Chawla 0002, Stan Cox, Brian A. Malloy Instruction scheduling for the Motorola 88110. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF MC88110, cache alignment, instruction scheduling, superscalar processors
15Norman P. Jouppi The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine performance, first-order estimate, machine parallelism, instruction-level, machine pipelines, MultiTitan, superscalar machine, performance evaluation, parallel architectures, pipeline processing, CRAY-1
8Arquimedes Canedo, Takeo Yoshizawa, Hideaki Komatsu Automatic parallelization of simulink applications. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coarse grain dataflow, equation-level parallelism, compilers, multi-core, automatic parallelization, simulink, strands
8Vladimir Marjanovic, Jesús Labarta, Eduard Ayguadé, Mateo Valero Overlapping communication and computation by using a hybrid MPI/SMPSs approach. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hybrid MPI/SMPSs, MPI, parallel programming model, LINPACK
8Siddhesh S. Mhambrey, Lawrence T. Clark, Satendra Kumar Maurya, Krzysztof S. Berezowski Out-of-order issue logic using sorting networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF out-of-order processing, ILP, micro-architecture, issue queue, high speed circuits
8Vladimir Marjanovic, Jesús Labarta, Eduard Ayguadé, Mateo Valero Effective communication and computation overlap with hybrid MPI/SMPSs. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hybrid mpi/smpss, mpi, parallel programming model, linpack
8Hans Vandierendonck, André Seznec Fetch Gating Control through Speculative Instruction Window Weighting. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Aneesh Aggarwal Complexity Effective Bypass Networks. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Christine Rochange, Pascal Sainrat A Context-Parameterized Model for Static Analysis of Execution Times. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing analysis, Worst-Case Execution Time
8Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero DIA: A Complexity-Effective Decoding Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Soontae Kim Reducing Area Overhead for Error-Protecting Large L2/L3 Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Exploring the limits of early register release: Exploiting compiler analysis. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, energy efficiency, Low-power design, microarchitecture, register file
8Abhishek Udupa, R. Govindarajan, Matthew J. Thazhuthaveetil Synergistic execution of stream programs on multicores with accelerators. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CUDAa, partitioning, software pipelining, stream programming, GPU programming
8Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta Exploiting Locality on the Cell/B.E. through Bypassing. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith 0001, David M. Brooks Voltage emergency prediction: Using signatures to reduce operating margins. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Raül Sirvent, Rosa M. Badia, Jesús Labarta Graph-Based Task Replication for Workflow Applications. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Liqiang He, Cha Narisu A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Hui Zeng, Ju-Young Jung, Kanad Ghose, Dmitry Ponomarev 0001 Energy-efficient renaming with register versioning. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microprocessor, register renaming
8Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Suriya Subramanian, Kathryn S. McKinley HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Ian Horswill Very fast action selection for parameterized behaviors. Search on Bibsonomy FDG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reactive planning, agent architectures, behavior-based control
8Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose Selective Writeback: Reducing Register File Pressure and Energy Consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Mahmoud A. Bennaser, Yao Guo 0001, Csaba Andras Moritz Data Memory Subsystem Resilient to Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8John N. Coleman, Christopher I. Softley, Jiri Kadlec, Rudolf Matousek, Milan Tichý, Zdenek Pohl, Antonin Hermanek, Nico F. Benschop The European Logarithmic Microprocesor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF General, High-Speed Arithmetic
8Zeshan Chishti, T. N. Vijaykumar Optimal Power/Performance Pipeline Depth for SMT in Scaled Technologies. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power Management, Performance of Systems, Multithreaded processors
8Yuchun Ma, Yongxiang Liu, Eren Kursun, Glenn Reinman, Jason Cong Investigating the effects of fine-grain three-dimensional integration on microarchitecture design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D packing, microarchitecture, 3D integration, thermal
8Mladen Berekovic, Tim Niggemeier A Distributed, Simultaneously Multi-Threaded (SMT) Processor with Clustered Scheduling Windows for Scalable DSP Performance. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, distributed computing, DSP, MPEG-4, multi-threading, processor architecture, SMT
8Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh On the potential of latency tolerant execution in speculative multithreading. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors
8José Manuel Colmenar, Noelia Morón, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo Modelling Asynchronous Systems using Probability Distribution Functions. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modelling, asynchronous, microarchitecture
8Alejandro Duran, Josep M. Pérez, Eduard Ayguadé, Rosa M. Badia, Jesús Labarta Extending the OpenMP Tasking Model to Allow Dependent Tasks. Search on Bibsonomy IWOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Xi E. Chen, Tor M. Aamodt Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Seyed Masoud Sadjadi, Liana Fong, Rosa M. Badia, Javier Figueroa, Javier Delgado, Xabriel J. Collazo-Mojica, Khalid Saleem, Raju Rangaswami, Shu Shimizu, Hector A. Duran-Limon, Pat Welsh, Sandeep Pattnaik, Anthony Praino, David Villegas, Selim Kalayci, Gargi Dasgupta, Onyeka Ezenwoye, Juan Carlos Martínez, Ivan Rodero, Shuyi Chen, Javier Muñoz, Diego R. López, Julita Corbalán, Hugh Willoughby, Michael McFail, Christine L. Lisetti, Malek Adjouadi Transparent grid enablement of weather research and forecasting. Search on Bibsonomy Mardi Gras Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WRF, grid enablement, job flow management, modeling, profiling, portal, scientific applications, meta-scheduling
8Pradeep Ramachandran, Sarita V. Adve, Pradip Bose, Jude A. Rivers Metrics for Architecture-Level Lifetime Reliability Analysis. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Luis M. Ramos, José Luis Briz, Pablo E. Ibáñez, Víctor Viñals Low-Cost Adaptive Data Prefetching. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Guoping Long, Dongrui Fan, Junchao Zhang, Fenglong Song, Nan Yuan, Wei Lin 0004 A Performance Model of Dense Matrix Operations on Many-Core Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dense matrix, performance model, memory bandwidth, many-core architecture
8Benoît Dupont de Dinechin Inter-block Scoreboard Scheduling in a JIT Compiler for VLIW Processors. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Noel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Mahmoud Ben Naser, Csaba Andras Moritz Power and performance tradeoffs with process variation resilient adaptive cache architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variations, leakage power, adaptive cache
8Derek Chiou, Dam Sunwoo, Hari Angepat, Joonsoo Kim, Nikhil A. Patil, William H. Reinhart, Darrel Eric Johnson Parallelizing computer system simulators. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Shih-Hao Ou, Yi Cho, Tay-Jyi Lin, Chih-Wei Liu Improving datapathutilization of programmable DSP with composite functional units. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Yi-Ying Tsai, Chia-Jung Hsu, Chung-Ho Chen Address compression for scalable load/store queue implementation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
8Michail Maniatakos, Naghmeh Karimi, Yiorgos Makris, Abhijit Jas, Chandra Tirumurti Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Zhonglei Wang, Antonio Sánchez, Andreas Herkersdorf SciSim: a software performance estimation framework using source code instrumentation. Search on Bibsonomy WOSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF debugging information, software performance estimation, source code instrumentation, microarchitecture
8Shijian Zhang, Weiwu Hu Fetching Primary and Redundant Instructions in Turn for a Fault-Tolerant Embedded Microprocessor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Hans Vandierendonck, Sean Rul, Michiel Questier, Koen De Bosschere Experiences with Parallelizing a Bio-informatics Program on the Cell BE. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Ernie Chan, Field G. Van Zee, Paolo Bientinesi, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí, Robert A. van de Geijn SuperMatrix: a multithreaded runtime scheduling system for algorithms-by-blocks. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algorithms-by-blocks, dynamic scheduling, dependency analysis, out-of-order execution
8Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
8Yulai Zhao 0003, Xianfeng Li, Dong Tong 0001, Xu Cheng 0001 An Energy-Efficient Instruction Scheduler Design with Two-Level Shelving and Adaptive Banking. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF content associative memory (CAM), tag elimination, waiting instruction buffer, instruction scheduler, energy-efficient architecture
8Steven Swanson, Andrew Schwerin, Martha Mercaldi, Andrew Petersen 0001, Andrew Putnam, Ken Michelson, Mark Oskin, Susan J. Eggers The WaveScalar architecture. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WaveScalar, multithreading, dataflow computing
8Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick Scientific Computing Kernels on the Cell Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, Cell processor, Stencil
8Chung-Ho Chen, Kuo-Su Hsiao Scalable Dynamic Instruction Scheduler through Wake-Up Spatial Locality. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CAM-based wakeup logic, matrix-based wakeup logic, scalable instruction scheduler, wakeup spatial locality, low power, issue logic
8José R. Herrero 0001, Juan J. Navarro Exploiting computer resources for fast nearest neighbor classification. Search on Bibsonomy Pattern Anal. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Rong Ji, Xianjun Zeng, Liang Chen, Junfeng Zhang The Implementation and Evaluation of a Low-Power Clock Distribution Network Based on EPIC. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Rajesh Vivekanandham, R. Govindarajan A Scalable Low Power Store Queue for Large InstructionWindow Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Shuai Wang 0006, Hongyan Yang, Jie S. Hu, Sotirios G. Ziavras Asymmetrically Banked Value-Aware Register Files. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Mahmoud Ben Naser, Yao Guo 0001, Csaba Andras Moritz Designing Memory Subsystems Resilient to Process Variations. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Antonio Carlos Schneider Beck, Luigi Carro Transparent acceleration of data dependent instructions for general purpose processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Subhasis Banerjee, G. Surendra, S. K. Nandy 0001 Program Phase Directed Dynamic Cache Way Reconfiguration for Power Efficiency. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Li-Chun Lin, Shih-Hao Ou, Tay-Jyi Lin, Siang-Den Deng, Chih-Wei Liu Single-Issue 1500MIPS Embedded DSP with Ultra Compact Codes. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture
8Rong Ji, Xianjun Zeng, Liang Chen, Junfeng Zhang The Implementation and Design of a Low-Power Clock Distribution Microarchitecture. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Partha Tirumalai, Yonghong Song, Spiros Kalogeropulos Performance Evaluation of Evolutionary Multi-core and Aggressively Multi-threaded Processor Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Thomas Piquet, Olivier Rochecouste, André Seznec Exploiting Single-Usage for Effective Memory Management. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks Towards a software approach to mitigate voltage emergencies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic optimization framework, voltage emergencies, hardware-software codesign, di/dt
8Somnath Paul, Swarup Bhunia Memory based computation using embedded cache for processor yield and reliability improvement. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Piotr Zajac, Jacques Henri Collet Production Yield and Self-Configuration in the Future Massively Defective Nanochips. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Hans Vandierendonck, André Seznec Fetch Gating Control Through Speculative Instruction Window Weighting. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh Reducing Branch Misprediction Penalties Via Adaptive Pipeline Scaling. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Ahmed S. Al-Zawawi, Vimal K. Reddy, Eric Rotenberg, Haitham Akkary Transparent control independence (TCI). Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, selective re-execution, selective recovery, checkpoints, branch prediction, speculation
8Ernie Chan, Field G. Van Zee, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí, Robert A. van de Geijn Satisfying your dependencies with SuperMatrix. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Ernie Chan, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí, Robert A. van de Geijn Supermatrix out-of-order scheduling of matrix operations for SMP and multi-core architectures. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data affinity, data-flow parallelism, dense linear algebra libraries, dynamic scheduling, out-of-order execution
8Seong-Won Lee, Jean-Luc Gaudiot Throttling-Based Resource Management in High Performance Multithreaded Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Resource management, power management, multithreading, resource utilization, throttling
8Jaeheon Jeong, Michel Dubois 0001 Cache Replacement Algorithms with Nonuniform Miss Costs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cache, power, latency, trace-driven simulations, memory system, replacement policy
8Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero Branch predictor guided instruction decoding. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective, instruction decoding, branch predictor
8Mladen Berekovic, Tim Niggemeier A Scalable, Multi-thread, Multi-issue Array Processor Architecture for DSP Applications Based on Extended Tomasulo Scheme. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Zenaide Carvalho da Silva, João Angelo Martini, Ronaldo Augusto Lara Gonçalves Extending the PPM Branch Predictor. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Luiz Vinicius Marra Ribas, Ronaldo Augusto de Lara Gonçalves Evaluating Branch Prediction Using Two-Level Perceptron Table. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Guadalupe Miñana, José Ignacio Hidalgo, Oscar Garnica, Juan Lanchares, José Manuel Colmenar, Sonia López A Technique to Reduce Static and Dynamic Power of Functional Units in High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Xin Fu, James Poe, Tao Li, José A. B. Fortes Characterizing Microarchitecture Soft Error Vulnerability Phase Behavior. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Soontae Kim Area-efficient error protection for caches. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Guadalupe Miñana, Oscar Garnica, José Ignacio Hidalgo, Juan Lanchares, José Manuel Colmenar A Power-Aware Technique for Functional Units in High-Performance Processors. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Anne Bracy, Amir Roth Serialization-Aware Mini-Graphs: Performance with Fewer Resources. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Samantika Subramaniam, Gabriel H. Loh Store vectors for scalable memory dependence prediction and scheduling. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8P. J. Joseph, Kapil Vaswani, Matthew J. Thazhuthaveetil Construction and use of linear regression models for processor performance analysis. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Yingmin Li, Benjamin C. Lee, David M. Brooks, Zhigang Hu, Kevin Skadron CMP design space exploration subject to physical constraints. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license