The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Aleksey Golovinskiy, Wojciech Matusik, Hanspeter Pfister, Szymon Rusinkiewicz, Thomas A. Funkhouser A statistical model for synthesis of detailed facial geometry. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF texture synthesis, face modeling
19Shaun Bangay, Chantelle Morkel Graph matching with subdivision surfaces for texture synthesis on surfaces. Search on Bibsonomy Afrigraph The full citation details ... 2006 DBLP  DOI  BibTeX  RDF vertex region matching, texture synthesis, subdivision surfaces, graph cut
19Qiang Fang, Jianwu Dang 0001 Speech Synthesis Based on a Physiological Articulatory Model. Search on Bibsonomy ISCSLP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physiological articulatory model, Chinese vowel, speech synthesis, acoustic model, speech production
19Muhammad Omer Cheema, Omar Hammami Customized SIMD unit synthesis for system on programmable chip: a foundation for HW/SW partitioning with vectorization. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AltiVec architecture, SIMD synthesis, vectorization, HW/SW codesign
19Nadia Mana, Fabio Pianesi HMM-based synthesis of emotional facial expressions during speech in synthetic talking heads. Search on Bibsonomy ICMI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG4 facial animation, emotional facial expression modeling, face synthesis, hidden Markov models, talking heads
19Borzoo Bonakdarpour, Sandeep S. Kulkarni Automated Incremental Synthesis of Timed Automata. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Bounded liveness, Bounded response, Real-time, Formal methods, Synthesis, Transformation, Timed automata
19Ying Zhang, Raffi R. Kamalian, Alice M. Agogino, Carlo H. Séquin Design synthesis of microelectromechanical systems using genetic algorithms with component-based genotype representation. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MEMS design synthesis, MOGA, component-based genotype representation, evolutionary multiobjective optimization
19Yi Lin 3D character animation synthesis from 2D sketches. Search on Bibsonomy GRAPHITE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF animation synthesis, sketching-based interface
19Hongzhi Liang, Jürgen Dingel, Zinovy Diskin A comparative survey of scenario-based to state-based model synthesis approaches. Search on Bibsonomy SCESM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF model, UML, petri nets, synthesis, scenario, SDL, state machines, MSC
19Maria José Pereira Dantas, Leonardo da C. Brito, Paulo Henrique Portela de Carvalho Multi-objective Memetic Algorithm Applied to the Automated Synthesis of Analog Circuits. Search on Bibsonomy IBERAMIA-SBIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-objective memetic algorithm, 2D representation, analog circuit, building-blocks, automated synthesis
19Sujan Pandey, Manfred Glesner Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication bus synthesis, voltage scaling
19Tao Xu 0002, Krishnendu Chakrabarty Droplet-trace-based array partitioning and a pin assignment algorithm for the automated design of digital microfluidic biochips. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF connect-5 algorithm, droplet trace, droplet-based microfluidics, pin-constrained biochip design, synthesis
19Neal K. Bambha, Shuvra S. Bhattacharyya Joint Application Mapping/Interconnect Synthesis Techniques for Embedded Chip-Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, task graphs, interconnect synthesis, Embedded multiprocessors
19Roberto Ziller, Klaus Schneider 0001 Combining supervisor synthesis and model checking. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Ramadge-Wonham, supervisor synthesis, model checking
19Seda Ogrenci Memik, Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh A scheduling algorithm for optimization and early planning in high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Scheduling, high-level synthesis, data flow graph, bipartite matching
19Junyu Dong, Mike J. Chantler Capture and Synthesis of 3D Surface Texture. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF texture synthesis, psychophysical experiment, surface texture
19Sandeep S. Kulkarni, Ali Ebnenasir Complexity Issues in Automated Synthesis of Failsafe Fault-Tolerance. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault-tolerance, formal methods, distributed programs, program synthesis, automatic addition of fault-tolerance
19Song Peng, David Fang, John Teifel, Rajit Manohar Automated synthesis for asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asychronous circuits, programmable logic, automated synthesis
19Jun Sun 0001, Jin Song Dong Synthesis of Distributed Processes from Scenario-Based Specifications. Search on Bibsonomy FM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Synthesis, CSP, LSC
19Rajeev Alur, Pavol Cerný, P. Madhusudan, Wonhong Nam Synthesis of interface specifications for Java classes. Search on Bibsonomy POPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF behavioral interfaces, learning regular languages, model checking, games, abstraction, synthesis, software components
19Renato Fernandes Hentschke, Jagannathan Narasimhan, David S. Kung 0001 Improving run times by pruned application of synthesis transforms. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF synthesis, filtering, buffering, driver, resizing
19Sujan Pandey, Manfred Glesner, Max Mühlhäuser Performance aware on-chip communication synthesis and optimization for shared multi-bus based architecture. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip communication architecture synthesis, optimization, algorithms
19Robert H. Bell Jr., Lizy Kurian John Improved automatic testcase synthesis for performance model validation. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic benchmark synthesis, synthetic benchmarks, benchmarking, performance modeling
19Lakin Wecker, Faramarz F. Samavati, Marina L. Gavrilova Iris synthesis: a reverse subdivision application. Search on Bibsonomy GRAPHITE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF biometric synthesis, reverse subdivision, biometrics, multiresolution, image generation
19Fei Su, Krishnendu Chakrabarty Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF synthesis, placement, defect tolerance, microfluidics, biochip
19Krishnendu Chakrabarty, Fei Su System-level design automation tools for digital microfluidic biochips. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF synthesis, physical design, microfluidics, biochip
19Jian S. Dai, Tieshi Zhao, Christopher Nester Sprained Ankle Physiotherapy Based Mechanism Synthesis and Stiffness Analysis of a Robotic Rehabilitation Device. Search on Bibsonomy Auton. Robots The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sprained ankles, physiotherapy, orientation analysis, parallel robotic mechanisms, rehabilitation, stiffness, mechanism synthesis
19Andrew Klapper, Jinzhong Xu Register Synthesis for Algebraic Feedback Shift Registers Based on Non-Primes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register synthesis, N-adic numbers, stream cipher, pseudorandom generator, feedback shift register
19Sinh Hoa Nguyen, Jan G. Bazan, Andrzej Skowron, Hung Son Nguyen Layered Learning for Concept Synthesis. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Concept synthesis, hierarchical schema, layered learning, rough sets
19Hyunok Oh, Soonhoi Ha Fractional Rate Dataflow Model for Efficient Code Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synchronous dataflow (SDF), multimedia, memory optimization, code synthesis
19Shmuel Moradoff, Dani Lischinski Constrained synthesis of textural motion for articulated characters. Search on Bibsonomy Vis. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Articulated characters, Motion editing/synthesis/reuse, Textural motion, Animation, Constraints
19Sandeep S. Kulkarni, Ali Ebnenasir Automated Synthesis of Multitolerance. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Fault-tolerance, Formal methods, Distributed programs, Program synthesis, Automatic addition of fault-tolerance
19Djones Lettnin, Axel G. Braun, Martin Bogdan, Joachim Gerlach, Wolfgang Rosenstiel Synthesis of Embedded SystemC Design: A Case Study of Digital Neural Networks. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SystemC Synthesis, Hardware Neural Network (HNN), Embedded Systems, Rapid Prototyping, Electrocardiogram (ECG), Digital System Design
19Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Heuristic for Wiring-Aware Built-In Self-Test Synthesis. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST insertion, wiring area, test synthesis
19Tomohiro Yoneda, Hiroomi Onda, Chris J. Myers Synthesis of Speed Independent Circuits Based on Decomposition. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF abstraction, synthesis, Decomposition, STGs, speed-independent circuits
19Ge Wang 0002, Perry R. Cook ChucK: a programming language for on-the-fly, real-time audio synthesis and multimedia. Search on Bibsonomy ACM Multimedia The full citation details ... 2004 DBLP  DOI  BibTeX  RDF audio synthesis, multimedia, real-time, compiler, concurrency, synchronization, virtual machine, programming language, signal processing
19Victor Khomenko, Maciej Koutny, Alexandre Yakovlev Logic Synthesis for Asynchronous Circuits Based on Petri Net Unfoldings and Incremental SAT. Search on Bibsonomy ACSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net unfoldings, partial order techniques, Petri nets, logic synthesis, asynchronous circuits, SAT, signal transition graphs, STG, self-timed circuits
19Lujin Wang, Klaus Mueller 0001 Generating Sub-Resolution Detail in Images and Volumes Using Constrained Texture Synthesis. Search on Bibsonomy IEEE Visualization The full citation details ... 2004 DBLP  DOI  BibTeX  RDF texture synthesis, semantic zoom
19Gwenolé Corre, Eric Senn, Nathalie Julien, Eric Martin 0001 A memory aware behavioral synthesis tool for real-time VLSI circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory aware, behavioral synthesis, VLSI circuits
19Gang Zhang, E. Aykut Dengi, Ronald A. Rohrer, Rob A. Rutenbar, L. Richard Carley A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, synthesis, layout, sizing, parasitic, radio frequency
19Pawel Kerntopf A new heuristic algorithm for reversible logic synthesis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF reversible logic circuits, synthesis
19Thomas Ellman, Ryan Deak, Jason Fotinatos Automated Synthesis of Numerical Programs for Simulation of Rigid Mechanical Systems in Physics-Based Animation. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF specification, program, synthesis, numerical
19Lech Józwiak Advanced AI Search Techniques in Modern Digital Circuit Synthesis. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automated design problem solving, double-beam search, genetic engineering algorithm, quick scan, artificial intelligence, heuristic search, circuit synthesis
19Norimichi Tsumura, Nobutoshi Ojima, Kayoko Sato, Mitsuhiro Shiraishi, Hideto Shimizu, Hirohide Nabeshima, Syuuichi Akazaki, Kimihiko Hori, Yoichi Miyake Image-based skin color and texture analysis/synthesis by extracting hemoglobin and melanin information in the skin. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hemoglobin, inverse lighting, melanin, physiologically-based rendering, pyramid-based texture analysis and synthesis, skin texture, independent component analysis, skin color
19Hitoshi Yamauchi, Jörg Haber, Hans-Peter Seidel Image Restoration using Multiresolution Texture Synthesis and Image Inpainting. Search on Bibsonomy Computer Graphics International The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiresolution texture synthesis, image in-painting, frequency decomposition, image restoration
19Traianos V. Yioultsis, Anne Woo, Andreas C. Cangellaris Passive Synthesis of Compact Frequency-Dependent Interconnect Models via Quadrature Spectral Rules. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Transmission-line modeling of interconnects, interconnects with frequency-dependent losses, passive reduced- order synthesis
19Anne-Claire Guillou, Patrice Quinton, Tanguy Risset Hardware Synthesis for Multi-Dimensional Time. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multi-dimensional scheduling, fpga, High-level synthesis, systolic architecture
19Weidong Wang, Tat Kee Tan, Jiong Luo, Yunsi Fei, Li Shang, Keith S. Vallerio, Lin Zhong 0001, Anand Raghunathan, Niraj K. Jha A comprehensive high-level synthesis system for control-flow intensive behaviors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF control-flow intensive behaviors, high-level synthesis, low power design
19Marc D. Riedel, Jehoshua Bruck The synthesis of cyclic combinational circuits. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF feedback, logic synthesis, cycles, combinational logic
19Shaojie Wang, Sharad Malik Synthesizing operating system based device drivers in embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded system software, operating system based software synthesis, device driver, correct-by-construction
19N. Ranganathan, Ashok K. Murugavel A low power scheduler using game theory. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF game theory, high-level synthesis, low power design, auction theory
19Laurence Goodby, Alex Orailoglu, Paul M. Chau Microarchitectural synthesis of performance-constrained, low-power VLSI designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DSP datapath design, High-level synthesis, low-power design
19Haibo Wang 0005, Sarma B. K. Vrudhula Behavioral synthesis of field programmable analog array circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable circuits, analog synthesis
19Massimiliano Chiodo Optimization and synthesis for complex reactive embedded systems by incremental collapsing. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time systems, embedded systems, finite-state machines, software synthesis
19Jingcao Hu, Yangdong Deng, Radu Marculescu System-Level Point-to-Point Communication Synthesis using Floorplanning Information. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-leve design, low-power, floorplanning, Communication synthesis, point-to-point communication
19Aurelio Uncini Sound Synthesis by Flexible Activation Function Recurrent Neural Networks. Search on Bibsonomy WIRN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF flexible activation function, spline neural networks, power-of-two neural networks, physical model, sound synthesis
19Alex K. Jones, Debabrata Bagchi, Satrajit Pal, Xiaoyong Tang, Alok N. Choudhary, Prithviraj Banerjee PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, low-power, compiler, SoC, synthesis, pipelining, VHDL, IP, ASIC, high-performance, FSM, Verilog, HDL, levelization
19Paul C. Attie, E. Allen Emerson Synthesis of concurrent programs for an atomic read/write model of computation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF specification, temporal logic, concurrent programs, program synthesis, atomic registers
19Sandeep S. Kulkarni, Anish Arora, Arun Chippada Polynomial Time Synthesis of Byzantine Agreement. Search on Bibsonomy SRDS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fault-tolerance, Formal methods, Program transformation, Distributed programs, Program synthesis
19Dong-In Kang, Richard Gerber 0001, Manas Saksena Parametric Design Synthesis of Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design synthesis, statistical performance, distributed systems, embedded systems, soft real-time
19Hussein Karam, Aboul Ella Hassanien, Masayuki Nakajima 0001 Visual Simulation of Texture/Non-Texture Image Synthesis. Search on Bibsonomy Computer Graphics International The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Double-pushout Construction, Texture Synthesis, Fractals, Graph Grammars, L-System, Pattern Generation, Graph Productions
19Alain Darte, Robert Schreiber, B. Ramakrishna Rau, Frédéric Vivien A Constructive Solution to the Juggling Problem in Processor Array Synthesis. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Systolic array synthesis, Affine scheduling
19Tadeusz Luba, Claudio Moraga, Svetlana N. Yanushkevich, Vlad P. Shmerko, Joanna Kolodziejczyk Application of Design Style in Evolutionary Multi-Level Networks Synthesis. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-level synthesis, information theory approach, parallelism, evolutionary design
19Fabiano Hessel, Philippe Coste, Gabriela Nicolescu, P. LeMarrec, Nacer-Eddine Zergainoh, Ahmed Amine Jerraya Multi-Level Communication Synthesis of Heterogeneous Multilanguage Specification. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multilanguage, Codesign, Communication Synthesis
19Robert P. Dick, Niraj K. Jha COWLS: Hardware-Software Co-Synthesis of Distributed Wireless Low-Power Embedded Client-Server Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, wireless, client-server, multiobjective, evolutionary, co-synthesis
19Vamsi K. Srikantam, N. Ranganathan, Srikanth Srinivasan 0002 CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register and Module Assignment Design for low power, High level synthesis, Low power design, Floorplanning
19Luis Alejandro Cortés, Petru Eles, Zebo Peng Definitions of Equivalence for Transformational Synthesis of Embedded Systems. Search on Bibsonomy ICECCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transformational synthesis, PRES+, explicit notion, Ethernet network coprocessor, embedded systems, Petri nets, computational model, equivalence, embedded systems design, data transformation, formal notation, design cycles, complex task
19William E. Dougherty, Donald E. Thomas Unifying behavioral synthesis and physical design. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF behavioral/high level synthesis, physical design
19Franco Fummi, Donatella Sciuto, Micaela Serra Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuits, functional testing, Synthesis for testability, logic minimization, redundant faults, redundancies removal
19Lieu-Hen Chen, Santi Saeyor, Hiroshi Dohi, Mitsuru Ishizuka A system of 3D hair style synthesis based on the wisp model. Search on Bibsonomy Vis. Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 3D CG hair style, Hair image synthesis, Wisp model, CG human characters, Anthropomorphic agent
19Craig A. Lindley Generic Film Forms for Dynamic Virtual Video Synthesis. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF virtual video, Content-based retrieval, video synthesis
19Fabiano Hessel, Philippe Coste, P. LeMarrec, Nacer-Eddine Zergainoh, Jean-Marc Daveau, Ahmed Amine Jerraya Communication Interface Synthesis for Multilanguage Specifications. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multilanguage Codesign, Interface Synthesis
19Leo J. van Bokhoven, Jeroen Voeten, Marc Geilen Software Synthesis for System Level Design Using Process Execution Trees. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF system level design language, simulation, operational semantics, software synthesis
19Pradip K. Jha, Steven Barnfield, John B. Weaver, Rudra Mukherjee, Reinaldo A. Bergamaschi Synthesis of Arrays and Records. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Aggregate data types, Synthesis, Array, Record
19Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Estimation of BIST Resources During High-Level Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF built-in self-test, high-level synthesis, estimation
19Christos A. Papachristou, Mikhail Baklashov, Kowen Lai High-Level Test Synthesis for Behavioral and Structural Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF built-in self test, DFT, test synthesis
19Sanjay Bhansali, Tim J. Hoar Automated Software Synthesis: An Application in Mechanical CAD. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF geometric constraint-satisfaction, planning, reuse, Program synthesis, domain engineering
19Paul C. Attie, E. Allen Emerson Synthesis of Concurrent Systems with Many Similar Processes. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temporal logic, concurrent programs, program synthesis
19Irith Pomeranz, Sudhakar M. Reddy A Synthesis Procedure for Flexible Logic Functions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF comparison units, flexible functions, logic synthesis
19Kamal S. Khouri, Ganesh Lakshminarayana, Niraj K. Jha IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multiplexer re-structuring, low power, high-level synthesis, resource sharing, control-flow, module selection
19Michael Gasteier, Manfred Glesner, Michael Münch Generation of Interconnect Topologies for Communication Synthesis. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF bus generation, channel merging, Communications synthesis
19Homan Igehy, Lucas Pereira Image replacement through texture synthesis. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF image replacement, undesired feature, synthetic texture, stochastic areas, texture synthesis, image texture, image composition
19Boon-Lock Yeo, Minerva M. Yeung Analysis and Synthesis for New Digital Video Applications. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF digital video applications, digital TV broadcast, digital video analysis, digital video synthesis, Internet, image processing, research, video databases, digital television, compressed video, CD ROM
19Kowen Lai, Christos A. Papachristou, Mikhail Baklashov BIST testability enhancement using high level test synthesis for behavioral and structural designs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST testability, behavioral designs, industrial benchmark, controllability, built-in self test, observability, DFT, transparency, fidelity, structural designs, high level test synthesis
19Ross B. Ortega, Gaetano Borriello Communication Synthesis for Embedded Systems with Global Considerations. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF communication protocols, distributed embedded systems, communication synthesis
19Jürgen Teich, Tobias Blickle, Lothar Thiele An evolutionary approach to system-level synthesis. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF architecture selection, cost constraints, graph-based mapping model, heterogeneous hardware/software architecture, optimal mapping, performance constraints, task-level specification mapping, video-codec implementations, genetic algorithms, scheduling, memories, ASICs, design space exploration, allocation, optimization problem, binding, buses, system-level synthesis, evolutionary approach, algorithm mapping, general-purpose processors, dedicated processors
19Pai H. Chou, Gaetano Borriello Software Architecture Synthesis for Retargetable Real-time Embedded Systems. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF control-dominated, software architecture synthesis, embedded systems, reactive systems, Run-time systems, real-time constraints
19Sergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopalakrishnan Vijayan, David T. Blaauw Library-less synthesis for static CMOS combinational logic circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF library-less synthesis, resynthesized circuits, size-wise CMOS circuit optimization, static CMOS combinational logic circuits, structural CMOS circuit optimization, transistor level technique, CMOS logic circuits, design space, optimal design, circuit performance
19Robert P. Dick, Niraj K. Jha MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware-software, genetic algorithm, embedded system, low power, co-design, multiobjective, co-synthesis
19Alessandro Armando, Alan Smaill, Ian Green Automatic Synthesis of Recursive Programs: The Proof-Planning Paradigm. Search on Bibsonomy ASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF proof-planning paradigm, recursive functional programs, functional programming, correctness proofs, automatic synthesis, recursive programs, unification algorithm
19Giacomo Buonanno, M. Pugassi, Mariagiovanna Sami A high-level synthesis approach to design of fault-tolerant systems. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware-software system, design, embedded system, fault tolerant computing, high-level synthesis, reconfiguration, scheduling algorithm, cost, processor, fault-tolerant system
19Ellen Sentovich Quick Conservative Causality Analysis. Search on Bibsonomy ISSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF quick conservative causality analysis, causality problem, standard logic synthesis techniques, combinational circuits, combinational circuit, conservative algorithm
19Janos Sztipanovits, Gabor Karsai, Hubertus Franke Model-Integrated Program Synthesis Environment. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF program synthesis, model-based systems, domain-specific software architecture
19Daniel Scharstein Stereo Vision for View Synthesis. Search on Bibsonomy CVPR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF image-based scene representations, virtual reality, occlusion, stereo vision, view synthesis
19Peter Grün, Petru Eles, Krzysztof Kuchcinski, Zebo Peng Automatic Parallelization of a Petri Net-Based Design Representation for High-Level Synthesis. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Petri net-based design representation, internal design representation, hardware structures, conflict freeness, hierarchical Petri net structure, CAMAD, complexity, parallelization process, Petri nets, high-level synthesis, automatic parallelization, design environment, safeness
19X. Wendling, Raphaël Rochet, Régis Leveugle Standard and ROM-based synthesis of FSMs with control flow checking capabilities. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF read-only storage, sequencing error detection, ROM architecture, finite state machines, finite state machine, integrated circuit testing, error detection, automatic testing, integrated circuit design, FSM, automatic synthesis, control flow checking
19Chittaranjan A. Mandal, P. P. Chakrabarti 0001, Sujoy Ghose Allocation and Binding in Data Path Synthesis Using a Genetic Algorithm Approach. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multi-port Memory, Genetic Algorithm, VLSI, Binding, Data Path Synthesis
19Federico Pedersini, Augusto Sarti, Stefano Tubaro Synthesis of virtual views using non-Lambertian reflectivity models and stereo matching. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonLambertian reflectivity models, virtual views synthesis, calibrated multicamera system, 3D edges, stereometric algorithms, curvature tuning points, 3D coordinates, estimated object surface, reflection corrected luminance function, texture correction, interpolation, reflectivity, texture mapping, calibration, image matching, cameras, stereo image processing, image texture, stereo matching, reflectivity model, real images, surface interpolation, brightness, image plane, MSE, 3D scene
19Adnan Aziz, Felice Balarin, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Sequential synthesis using S1S. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF S1S, sequntial synthesis, discrete control, finite state machine
19Chuan-Yu Wang, Kaushik Roy 0001 Control unit synthesis targeting low-power processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF control unit synthesis, low-power processors, low-power decoding scheme, microprogrammed architecture, nanoprogrammed architecture, 8086 instruction set, instruction opcodes, computer architecture, logic design, encoding, decoding, microprocessor chips, graph embedding, instruction sets, microprogramming, CMOS circuits, logic minimization, system reliability, switching activity, minimisation of switching nets, pseudo-Boolean programming
Displaying result #701 - #800 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license