The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Christian Helm, Soramichi Akiyama, Kenjiro Taura Reliable Reverse Engineering of Intel DRAM Addressing Using Performance Counters. Search on Bibsonomy MASCOTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Onkar Patil, Frank Mueller 0001, Latchesar Ionkov, Jason Lee, Michael Lang 0003 Symbiotic HW Cache and SW DTLB Prefetching for DRAM/NVM Hybrid Memory. Search on Bibsonomy MASCOTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Curt Beckmann, Ramkumar Krishnamoorthy, Han Wang 0009, Andre Lam, Changhoon Kim Hurdles for a DRAM-based Match-Action Table. Search on Bibsonomy ICIN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yifan Qiao Design of Database Systems with DRAM-only Heterogeneous Memory Architecture. Search on Bibsonomy ICDE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Narek Mamikonyan DRAM Structure with Prioritized Memory Bank using Multi-VT Bit Cells Architecture. Search on Bibsonomy EWDTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Narek Mamikonyan, Nazeli Melikyan, Ruben Musayelyan IR Drop Estimation and Optimization on DRAM Memory using Machine Learning Algorithms. Search on Bibsonomy EWDTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Katsushi Kobayashi A DRAM-friendly priority queue Internet packet scheduler implementation and its effects on TCP. Search on Bibsonomy Networking The full citation details ... 2020 DBLP  BibTeX  RDF
13Hongtao Zhong, Mingyang Gu, Juejian Wu, Huazhong Yang, Xueqing Li Design of Almost-Nonvolatile Embedded DRAM Using Nanoelectromechanical Relay Devices. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Johannes Feldmann, Kira Kraft, Lukas Steiner, Norbert Wehn, Matthias Jung 0001 Fast and Accurate DRAM Simulation: Can we Further Accelerate it? Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis DStress: Automatic Synthesis of DRAM Reliability Stress Viruses using Genetic Algorithms. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Mingxuan He, Choungki Song, Ilkon Kim, Chunseok Jeong, Seho Kim, Il Park 0001, Mithuna Thottethodi, T. N. Vijaykumar Newton: A DRAM-maker's Accelerator-in-Memory (AiM) Architecture for Machine Learning. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Jungi Jeong, Jaewan Hong, Seungryoul Maeng, Changhee Jung, Youngjin Kwon Unbounded Hardware Transactional Memory for a Hybrid DRAM/NVM Memory System. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yaohua Wang, Lois Orosa 0001, Xiangjun Peng, Yang Guo 0003, Saugata Ghose, Minesh Patel, Jeremie S. Kim, Juan Gómez-Luna, Mohammad Sadrosadati, Nika Mansouri-Ghiasi, Onur Mutlu FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Jie Zhang 0048, Gyuyoung Park, David Donofrio, John Shalf, Myoungsoo Jung DRAM-Less: Hardware Acceleration of Data Processing with New Memory. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Seikwon Kim, Wonsang Kwak, Changdae Kim, Daehyeon Baek, Jaehyuk Huh 0001 Charge-Aware DRAM Refresh Reduction with Value Transformation. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Xin Xin 0008, Youtao Zhang, Jun Yang 0002 ELP2IM: Efficient and Low Power Bitwise Operation Processing in DRAM. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Huiyu Wang, Zhaoyan Shen, Mengying Zhao, Xiaojun Cai, Zhiping Jia CLOCK-RWRF: A Read-Write-Relative-Frequency Page Replacement Algorithm for PCM and DRAM of Hybrid Memory. Search on Bibsonomy HPCC/DSS/SmartCity The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Michael Garrett Bechtel, Heechul Yun Exploiting DRAM bank mapping and HugePages for effective denial-of-service attacks on shared cache in multicore. Search on Bibsonomy HotSoS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yun-Wei Lin, Chia-Ming Lin Optimization of the Deposition Condition for Improving the Ti Film Resistance of DRAM Products. Search on Bibsonomy SGIoT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Seyed Armin Vakil-Ghahani, Mahmut Taylan Kandemir, Jagadish B. Kotra DSM: A Case for Hardware-Assisted Merging of DRAM Rows with Same Content. Search on Bibsonomy SIGMETRICS (Abstracts) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Kouki Ozawa, Takahiro Hirofuchi, Ryousei Takano, Midori Sugaya fogcached: DRAM-NVM Hybrid Memory-Based KVS Server for Edge Computing. Search on Bibsonomy EDGE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Matteo Andreozzi, Frances Conboy, Giovanni Stea, Raffaele Zippo Heterogeneous Systems Modelling with Adaptive Traffic Profiles and Its Application to Worst-Case Analysis of a DRAM Controller. Search on Bibsonomy COMPSAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Kjersten Criss, Kuljit Bains, Rajat Agarwal, Tanj Bennett, Terry Grunzke, Jangryul Keith Kim, Hoeju Chung, Munseon Jang Improving Memory Reliability by Bounding DRAM Faults: DDR5 improved reliability features. Search on Bibsonomy MEMSYS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Muhammad Mohsin Ghaffar, Chirag Sudarshan, Christian Weis, Matthias Jung 0001, Norbert Wehn A Low Power In-DRAM Architecture for Quantized CNNs using Fast Winograd Convolutions. Search on Bibsonomy MEMSYS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Duy Thanh Nguyen, Changhong Min, Nhut-Minh Ho, Ik-Joon Chang DRAMA: An Approximate DRAM Architecture for High-performance and Energy-efficient Deep Training System. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Sungsik Park, Yunhong Kim, Woojun Choi, Yong-Tae Lee, Sungbeen Kim, Youngmin Shin, Youngcheol Chae A DTMOST-based Temperature Sensor with 3σ Inaccuracy of ±0.9°C for Self-Refresh Control in 28nm Mobile DRAM. Search on Bibsonomy CICC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Zhiyuan Lv, Youjian Zhao, Chao Zhang 0008, Haibin Li DRAMD: Detect Advanced DRAM-based Stealthy Communication Channels with Neural Networks. Search on Bibsonomy INFOCOM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Haerang Choi, Yosep Lee, Jae-Joon Kim, Sungjoo Yoo A Novel In-DRAM Accelerator Architecture for Binary Neural Network. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Christos Kalogirou, Christos D. Antonopoulos, Nikolaos Bellas, Spyros Lalis, Lev Mukhanov, Georgios Karakonstantis Increasing the Profit of Cloud Providers through DRAM Operation at Reduced Margins. Search on Bibsonomy CCGRID The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Chi-Sung Oh, Ki Chul Chun, Young-Yong Byun, Yong-Ki Kim, So-Young Kim, Yesin Ryu, Jaewon Park, Sinho Kim, Sang-uhn Cha, Dong-Hak Shin, Jungyu Lee 0002, Jong-Pil Son, Byung-Kyu Ho, Seong-Jin Cho, Beomyong Kil, Sungoh Ahn, Baekmin Lim, Yong-Sik Park, Kijun Lee, Myung-Kyu Lee, Seungduk Baek, Junyong Noh, Jae-Wook Lee, Seungseob Lee, Sooyoung Kim, Bo-Tak Lim, Seouk-Kyu Choi, Jin-Guk Kim, Hye-In Choi, Hyuk-Jun Kwon, Jun Jin Kong, Kyomin Sohn, Nam Sung Kim, Kwang-Il Park, Jung-Bae Lee 22.1 A 1.1V 16GB 640GB/s HBM2E DRAM with a Data-Bus Window-Extension Technique and a Synergetic On-Die ECC Scheme. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Jaekyu Lee, Seung Sik Kim, In-Gyu Baek, Heesung Shim, Taehoon Kim, Taehyoung Kim, Jungchan Kyoung, Dongmo Im, Jinyong Choi, KeunYeong Cho, Daehoon Kim, Haemin Lim, Min-Woong Seo, JuYoung Kim, Doowon Kwon, Jiyoun Song, Jiyoon Kim, Minho Jang, Joosung Moon, Hyunchul Kim, Chong Kwang Chang, JinGyun Kim, Kyoungmin Koh, Hanjin Lim, JungChak Ahn, Hyeongsun Hong, Kyupil Lee, Ho-Kyu Kang 5.5 A 2.1e- Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3µm-Pixel Voltage-Domain Global Shutter CMOS Image Sensor Using High-Capacity DRAM Capacitor Technology. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Dong-Uk Lee, Ho Sung Cho, Jihwan Kim, Young Jun Ku, Sangmuk Oh, Chul Dae Kim, Hyun Woo Kim, Wooyoung Lee, Tae-Kyun Kim, Tae Sik Yun, Min Jeong Kim, SeungGyeon Lim, Seong Hee Lee, Byung Kuk Yun, Jun Il Moon, Ji Hwan Park, Seokwoo Choi, Young Jun Park, Chang Kwon Lee, Chunseok Jeong, Jae-Seung Lee, Sang Hun Lee, Woo Sung We, Jong Chan Yun, Doobock Lee, Junghyun Shin, Seungchan Kim, Junghwan Lee, Jiho Choi, Yucheon Ju, Myeong-Jae Park, Kang Seol Lee, Youngdo Hur, Daeyong Shim, Sangkwon Lee, Junhyun Chun, Kyowon Jin 22.3 A 128Gb 8-High 512GB/s HBM2E DRAM with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed PMBIST. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Hyung-Joon Chi, Chang-Kyo Lee, Junghwan Park, Jin-Seok Heo, Jaehoon Jung, Dongkeon Lee, Dae-Hyun Kim 0003, Dukha Park, Kihan Kim, Sang-Yun Kim 0001, Jinsol Park, Hyunyoon Cho, Sukhyun Lim, YeonKyu Choi, Youngil Lim, Daesik Moon, Geuntae Park, Jin-Hun Jang, Kyungho Lee, Isak Hwang, Cheol Kim, Younghoon Son, Gil-Young Kang, Kiwon Park, Seungjun Lee, Su-Yeon Doo, Chang-Ho Shin, Byongwook Na, Ji-Suk Kwon, Kyung Ryun Kim, Hye-In Choi, Seouk-Kyu Choi, Soobong Chang, Wonil Bae, Hyuck-Joon Kwon, Young-Soo Sohn, Seung-Jun Bae, Kwang-Il Park, Jung-Bae Lee 22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 SDRAM with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm DRAM Process. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Soyeong Shin, Han-Gon Ko, Sungchun Jang, Dongkyun Kim, Deog-Kyoon Jeong 22.6 A 0.8-to-2.3GHz Quadrature Error Corrector with Correctable Error Range of 101.6ps Using Minimum Total Delay Tracking and Asynchronous Calibration On-Off Scheme for DRAM Interface. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Chao-Hsuan Huang, Ishan G. Thakkar Improving the Latency-Area Tradeoffs for DRAM Design with Coarse-Grained Monolithic 3D (M3D) Integration. Search on Bibsonomy ICCD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yunfang Zhang, Yong Dong, Juan Chen 0001, Zhixin Ou, Yuan Yuan 0034 PMC-Based Dynamic Adaptive CPU and DRAM Power Modeling. Search on Bibsonomy ICA3PP (1) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Bashir M. Sabquat Bahar Talukder, Vineetha Menon, Biswajit Ray, Tempestt J. Neal, Md. Tauhidur Rahman 0001 Towards the Avoidance of Counterfeit Memory: Identifying the DRAM Origin. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Brett Meadows, Nathan Edwards, Sang-Yoon Chang On-Chip Randomization for Memory Protection Against Hardware Supply Chain Attacks to DRAM. Search on Bibsonomy SP (Workshops) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Christian Helm, Kenjiro Taura Automatic Identification and Precise Attribution of DRAM Bandwidth Contention. Search on Bibsonomy ICPP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Yifan Qiao, Xubin Chen, Jingpeng Hao, Tong Zhang 0002, Changsheng Xie, Fei Wu 0005 Architecting Heterogeneous Memory Systems with DRAM Technology Only: A Case Study on Relational Database. Search on Bibsonomy MCHPC@SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Steffen Christgau, Thomas Steinke 0001 Leveraging a Heterogeneous Memory System for a Legacy Fortran Code: The Interplay of Storage Class Memory, DRAM and OS. Search on Bibsonomy MCHPC@SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Isaac Boixaderas, Darko Zivanovic, Sergi Moré, Javier Bartolome, David Vicente, Marc Casas, Paul M. Carpenter, Petar Radojkovic, Eduard Ayguadé Cost-aware prediction of uncorrected DRAM errors in the field. Search on Bibsonomy SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Lucas Matana Luza, Daniel Söderström, Helmut Puchner, Rubén García Alía, Manon Letiche, Alberto Bosio, Luigi Dilillo Effects of Thermal Neutron Irradiation on a Self-Refresh DRAM. Search on Bibsonomy DTIS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Jeremie S. Kim, Minesh Patel, Abdullah Giray Yaglikçi, Hasan Hassan, Roknoddin Azizi, Lois Orosa 0001, Onur Mutlu Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Sangmok Jeong, SeungYup Kang, Joon-Sung Yang PAIR: Pin-aligned In-DRAM ECC architecture using expandability of Reed-Solomon code. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Payman Behnam, Mahdi Nazm Bojnordi RedCache: Reduced DRAM Caching. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Rachmad Vidya Wicaksana Putra, Muhammad Abdullah Hanif, Muhammad Shafique 0001 DRMap: A Generic DRAM Data Mapping Policy for Energy-Efficient Processing of Convolutional Neural Networks. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Minghua Wang, Zhi Zhang 0001, Yueqiang Cheng, Surya Nepal DRAMDig: A Knowledge-assisted Tool to Uncover DRAM Address Mapping. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Xin Xin 0008, Youtao Zhang, Jun Yang 0002 Reducing DRAM Access Latency via Helper Rows. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Saugata Ghose, Tianshi Li 0001, Nastaran Hajinazar, Damla Senol Cali, Onur Mutlu Demystifying Complex Workload-DRAM Interactions: An Experimental Study. Search on Bibsonomy Proc. ACM Meas. Anal. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Young-Ju Kim 0001, Hye-Jung Kwon, Su-Yeon Doo, Min-Su Ahn, Yong-Hun Kim, Yong Jae Lee, Dong-Seok Kang, Sung-Geun Do, Chang-Yong Lee, Gun-hee Cho, Jae-Koo Park, Jae-Sung Kim, Kyung-Bae Park, Seung-Hoon Oh, Sang-Yong Lee, Ji-Hak Yu, Ki-Hun Yu, Chul-Hee Jeon, Sang-Sun Kim, Hyun-Soo Park, Jeong-Woo Lee, Seung-Hyun Cho, Keon-Woo Park, Yong-Jun Kim, Young-Hun Seo, Chang-Ho Shin, ChanYong Lee, Sam-Young Bang, Youn-Sik Park, Seouk-Kyu Choi, Byung-Cheol Kim, Gong-Heum Han, Seung-Jun Bae, Hyuk-Jun Kwon, Jung-Hwan Choi, Young-Soo Sohn, Kwang-Il Park, Seong-Jin Jang, Gyo-Young Jin A 16-Gb, 18-Gb/s/pin GDDR6 DRAM With Per-Bit Trainable Single-Ended DFE and PLL-Less Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Seunghak Lee, Nam Sung Kim, Daehoon Kim Exploiting OS-Level Memory Offlining for DRAM Power Management. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yicheng Wang, Yang Liu 0114, Peiyun Wu, Zhao Zhang 0008 Detect DRAM Disturbance Error by Using Disturbance Bin Counters. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Konstantinos Tovletoglou, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis Shimmer: Implementing a Heterogeneous-Reliability DRAM Framework on a Commodity Server. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kate Nguyen, Kehan Lyu, Xianze Meng, Vilas Sridharan, Xun Jian 0002 Nonblocking DRAM Refresh. Search on Bibsonomy IEEE Micro The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Bill Gervasi Will Carbon Nanotube Memory Replace DRAM? Search on Bibsonomy IEEE Micro The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Bashir M. Sabquat Bahar Talukder, Biswajit Ray, Domenic Forte, Md. Tauhidur Rahman 0001 PreLatPUF: Exploiting DRAM Latency Variations for Generating Robust Device Signatures. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Carlos Navarro, Carlos Marquez, Santiago Navarro, Carmen Lozano, Sehyun Kwon, Yong-Tae Kim, Francisco Gámiz Simulation Perspectives of Sub-1V Single-Supply Z2-FET 1T-DRAM Cells for Low-Power. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Won Jun Lee, Chang Hyun Kim, Yoonah Paik, Jongsun Park 0001, Il Park 0001, Seon Wook Kim Design of Processing-"Inside"-Memory Optimized for DRAM Behaviors. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Na Niu, Fangfa Fu, Bing Yang, Jiacai Yuan, Fengchang Lai, Jinxiang Wang 0001 WIRD: An Efficiency Migration Scheme in Hybrid DRAM and PCM Main Memory for Image Processing Applications. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Tomohiro Korikawa, Akio Kawabata, Fujun He, Eiji Oki Carrier-Scale Packet Processing Architecture Using Interleaved 3D-Stacked DRAM and Its Analysis. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Akhil James, Sneh Saurabh Dopingless 1T DRAM: Proposal, Design, and Analysis. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Andrew J. Douglass, Sunil P. Khatri Fast, Ring-Based Design of 3-D Stacked DRAM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Suk Min Kim, Byungkyu Song, Seong-Ook Jung Sensing Margin Enhancement Technique Utilizing Boosted Reference Voltage for Low-Voltage and High-Density DRAM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Fazal Hameed, Jerónimo Castrillón A Novel Hybrid DRAM/STT-RAM Last-Level-Cache Architecture for Performance, Energy, and Endurance Enhancement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Joo-Hyung Chae, Hyeongjun Ko, Jihwan Park, Suhwan Kim A Quadrature Clock Corrector for DRAM Interfaces, With a Duty-Cycle and Quadrature Phase Detector Based on a Relaxation Oscillator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yongwoon Song, Dongkeon Choi, Hyuk-Jun Lee Designing a High Performance SRAM-DRAM Hybrid Memory Architecture for Packet Buffers. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Reza Salkhordeh, Onur Mutlu, Hossein Asadi 0001 An Analytical Model for Performance and Lifetime Estimation of Hybrid DRAM-NVM Main Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yuhai Cao, Chao Li 0009, Jing Wang 0055, Weigong Zhang, Quan Chen 0002, Jingwen Leng, Bin Yao 0002, Yao Shen, Minyi Guo DR Refresh: Releasing DRAM Potential by Enabling Read Accesses Under Refresh. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Moonsoo Kim, Jungwoo Choi, Hyun Kim 0001, Hyuk-Jae Lee An Effective DRAM Address Remapping for Mitigating Rowhammer Errors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shibo Wang, Mahdi Nazm Bojnordi, Xiaochen Guo, Engin Ipek Content Aware Refresh: Exploiting the Asymmetry of DRAM Retention Errors to Reduce the Refresh Frequency of Less Vulnerable Data. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sheng Ma, Zhong Liu, Shenggang Chen, Libo Huang, Yang Guo 0003, Zhiying Wang 0003, Meidi Zhang Coordinated DMA: Improving the DRAM Access Efficiency for Matrix Multiplication. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Ming Ling, Xiaojing Shang, Kecheng Ji, Longxing Shi Fast modeling DRAM access latency based on the LLC memory stride distribution without detailed simulations. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Rajasekhar Turaka, M. Satya Sai Ram Low power VLSI implementation of real fast Fourier transform with DRAM-VM-CLA. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sanghoon Cha, Bokyeong Kim, Chang Hyun Park 0001, Jaehyuk Huh 0001 Morphable DRAM Cache Design for Hybrid Memory Systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Evangelos Vasilakis, Vassilis Papaefstathiou, Pedro Trancoso, Ioannis Sourdis Decoupled Fused Cache: Fusing a Decoupled LLC with a DRAM Cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shyue-Kung Lu, Hung-Kai Huang, Chun-Lung Hsu, Chi-Tien Sun, Kohei Miyase Retention-Aware Refresh Techniques for Reducing Power and Mitigation of Data Retention Faults in DRAM. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Su-Kyung Yoon, Young-Sun Youn, Bernd Burgstaller, Shin-Dug Kim Self-learnable Cluster-based Prefetching Method for DRAM-Flash Hybrid Main Memory Architecture. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sherif M. Sharroush A predischarged bitline 1T-1C DRAM readout scheme. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kyu Hyun Choi, Jaeyung Jun, Minseong Kim, Seon Wook Kim Reducing DRAM Refresh Rate Using Retention Time Aware Universal Hashing Redundancy Repair. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Debiprasanna Sahoo, Swaraj Sha, Manoranjan Satpathy, Madhu Mutyam, S. Ramesh 0002, Partha S. Roop Formal Modeling and Verification of a Victim DRAM Cache. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yang Song 0006, Olivier Alavoine, Bill Lin 0001 Harvesting Row-Buffer Hits via Orchestrated Last-Level Cache and DRAM Scheduling for Heterogeneous Multicore Systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13David Wood, James H. Cole, Thomas C. Booth NEURO-DRAM: a 3D recurrent visual attention model for interpretable neuroimaging classification. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Vinson Young, Zeshan Chishti, Moinuddin K. Qureshi TicToc: Enabling Bandwidth-Efficient DRAM Caching for both Hits and Misses in Hybrid Memory Systems. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Saugata Ghose, Tianshi Li 0001, Nastaran Hajinazar, Damla Senol Cali, Onur Mutlu Understanding the Interactions of Workloads and DRAM Types: A Comprehensive Experimental Study. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Dimitrios Stathis 0001, Chirag Sudarshan, Yu Yang, Matthias Jung 0001, Syed Mohammad Asad Hassan Jafri, Christian Weis, Ahmed Hemani, Anders Lansner, Norbert Wehn eBrainII: A 3 kW Realtime Custom 3D DRAM integrated ASIC implementation of a Biologically Plausible Model of a Human Scale Cortex. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Vinson Young, Moinuddin K. Qureshi To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for DRAM Caches. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Ravikiran Yeleswarapu, Arun K. Somani Addressing multiple bit/symbol errors in DRAM subsystem. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Reza Salkhordeh, Onur Mutlu, Hossein Asadi 0001 An Analytical Model for Performance and Lifetime Estimation of Hybrid DRAM-NVM Main Memories. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Nima Karimian, Fatemeh Tehranipoor, Nikolaos A. Anagnostopoulos, Wei Yan 0005 DRAMNet: Authentication based on Physical Unique Features of DRAM Using Deep Convolutional Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Bashir M. Sabquat Bahar Talukder, Vineetha Menon, Biswajit Ray, Tempestt J. Neal, Md. Tauhidur Rahman 0001 Towards the Avoidance of Counterfeit Memory: Identifying the DRAM Origin. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Md. Hasan Raza Ansari, Jawar Singh Improvement in Retention Time of Capacitorless DRAM with Access Transistor. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Se Kwon Lee, Jayashree Mohan, Sanidhya Kashyap, Taesoo Kim, Vijay Chidambaram RECIPE : Converting Concurrent DRAM Indexes to Persistent-Memory Indexes. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Lois Orosa 0001, Yaohua Wang, Ivan Puddu, Mohammad Sadrosadati, Kaveh Razavi, Juan Gómez-Luna, Hasan Hassan, Nika Mansouri-Ghiasi, Arash Tavakkol, Minesh Patel, Jeremie S. Kim, Vivek Seshadri, Uksong Kang, Saugata Ghose, Rodolfo Azevedo, Onur Mutlu Dataplant: In-DRAM Security Mechanisms for Low-Cost Devices. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Shaahin Angizi, Deliang Fan Accelerating Bulk Bit-Wise X(N)OR Operation in Processing-in-DRAM Platform. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Anirban Chakraborty 0003, Sarani Bhattacharya, Debdeep Mukhopadhyay Using Memory Allocation Schemes in Linux to Exploit DRAM Vulnerability: with Rowhammer as a Case Study. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13RhongHo Jang, Seongkwang Moon, Youngtae Noh, Aziz Mohaisen, DaeHun Nyang Scaling Up Anomaly Detection Using In-DRAM Working Set of Active Flows Table. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Skanda Koppula, Lois Orosa 0001, Abdullah Giray Yaglikçi, Roknoddin Azizi, Taha Shahroodi, Konstantinos Kanellopoulos, Onur Mutlu EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Vivek Seshadri, Onur Mutlu In-DRAM Bulk Bitwise Execution Engine. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
13Wenjie Huang, Weiguo Tang, Junlin Chen, Lei Wang 0003 Design of Low-Power Non-Binary LDPC Decoder Exploiting DRAM Refresh Rate Over-Scaling. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license