The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPGA"( http://dblp.L3S.de/Venues/FPGA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1995 (25) 1996 (23) 1997 (24) 1998 (49) 1999 (57) 2000 (41) 2001 (25) 2002 (27) 2003 (53) 2004 (68) 2005 (65) 2006 (53) 2007 (27) 2008 (47) 2009 (65) 2010 (67) 2011 (62) 2012 (57) 2013 (71) 2014 (70) 2015 (84) 2016 (68) 2017 (63) 2018 (62) 2019 (95) 2020 (85) 2021 (51) 2022 (39) 2023 (51) 2024 (44)
Publication types (Num. hits)
inproceedings(1588) proceedings(30)
Venues (Conferences, Journals, ...)
FPGA(1618)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1086 occurrences of 496 keywords

Results
Found 1618 publication records. Showing 1618 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Fatemeh Sadat Pourhashemi, Morteza Saheb Zamani Timing yield improvement of FPGAs utilizing enhanced architectures and multiple configurations under process variation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wendi Wang, Bo Duan, Wen Tang, Chunming Zhang, Guangming Tan, Peiheng Zhang, Ninghui Sun A coarse-grained stream architecture for cryo-electron microscopy images 3D reconstruction. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yupeng Chen, Bertil Schmidt, Douglas L. Maskell Accelerating short read mapping on an FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vincent Mirian, Paul Chow FCache: a system for cache coherent processing on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Juinn-Dar Huang, Ya-Shih Huang, Mi-Yu Hsu, Han-Yuan Chang Thermal-aware logic block placement for 3D FPGAs considering lateral heat dissipation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Robin Panda, Scott Hauck Dataflow-driven execution control in a coarse-grained reconfigurable array (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yong Fu, Chi Wang, Liguang Chen, Jinmei Lai A novel full coverage test method for CLBs in FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi-Hua E. Yang, Oguzhan Erdem, Viktor K. Prasanna Scalable architecture for 135 GBPS IPv6 lookup on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Colin Yu Lin, Ngai Wong, Hayden Kwok-Hay So Operation scheduling and architecture co-synthesis for energy-efficient dataflow computations on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1S. Alexander Chin, Paul Chow OpenCL memory infrastructure for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jesus Savage, Rodrigo Savage, Marco Morales-Aguirre, Ángel Fernando Kuri Morales Adaptive FPGA-based robotics state machine architecture derived with genetic algorithms (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nathaniel H. Rollins, Michael J. Wirthlin Reliability of a softcore processor in a commercial SRAM-based FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haoran Li, Youn Sung Park, Zhengya Zhang Reconfigurable architecture and automated design flow for rapid FPGA-based LDPC code emulation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Warren Wai-Kit Shum, Jason Helge Anderson Analyzing and predicting the impact of CAD algorithm noise on FPGA speed performance and power. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong, Bingjun Xiao FPGA-RR: an enhanced FPGA architecture with RRAM-based reconfigurable interconnects (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita, Hiroaki Yoshida Post-silicon debugging targeting electrical errors with patchable controllers (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1John Curreri, Greg Stitt, Alan D. George Communication visualization for bottleneck detection of high-level synthesis applications. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chris C. Wang, Guy G. F. Lemieux Parallel FPGA placement based on individual LUT placement (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Declan Walsh, Piotr Dudek A field programmable array core for image processing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nikil Mehta, Raphael Rubin, André DeHon Limit study of energy & delay benefits of component-specific routing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Charles Eric LaForest, John Gregory Steffan OCTAVO: an FPGA-centric processor family. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qinghong Wu, Kenneth S. McElvain A fast discrete placement algorithm for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Assem A. M. Bsoul, Steven J. E. Wilton A configurable architecture to limit wakeup current in dynamically-controlled power-gated FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yehdhih Ould Mohammed Moctar, Nithin George, Hadi Parandeh-Afshar, Paolo Ienne, Guy G. F. Lemieux, Philip Brisk Reducing the cost of floating-point mantissa alignment and normalization in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lingkan Gong, Oliver Diessel Functionally verifying state saving and restoration in dynamically reconfigurable systems. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jianwen Chen, Jason Cong, Ming Yan 0006, Yi Zou FPGA-accelerated 3D reconstruction using compressive sensing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jeremy Fowers, Greg Brown, Patrick Cooke, Greg Stitt A performance and energy comparison of FPGAs, GPUs, and multicores for sliding-window applications. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Samuel Bayliss, George A. Constantinides Optimizing SDRAM bandwidth for custom FPGA loop accelerators. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gary Chun Tak Chow, Anson Hong Tak Tse, Qiwei Jin, Wayne Luk, Philip Heng Wai Leong, David B. Thomas A mixed precision Monte Carlo methodology for reconfigurable accelerator systems. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Hsun Chou, Fong Pong, Nian-Feng Tzeng Speedy FPGA-based packet classifiers with low on-chip memory requirements. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anh-Tuan Hoang, Takeshi Fujino Intra-masking dual-rail memory on LUT implementation for tamper-resistant AES on FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Proshanta Saha, Chuck Haymes, Ralph Bellofatto, Bernard Brezzo, Mohit Kapur, Sameh W. Asaad Efficient in-system RTL verification and debugging using FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sundaram Ananthanarayanan, Chirag Ravishankar, Siddharth Garg, Andrew A. Kennings EmPower: FPGA based emulation of dynamic power management algorithms for multi-core systems on chip (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, Michael Papamichael, Gabriel Weisz, James C. Hoe, Ken Mai Prototype and evaluation of the CoRAM memory architecture for FPGA-based computing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Katherine Compton, Brad L. Hutchings (eds.) Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, FPGA 2012, Monterey, California, USA, February 22-24, 2012 Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1André Seffrin, Sorin A. Huss Constraint-driven automatic generation of interconnect for partially reconfigurable architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michael Papamichael, James C. Hoe CONNECT: re-examining conventional wisdom for designing nocs in the context of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hadi Parandeh-Afshar, Hind Benbihi, David Novo, Paolo Ienne Rethinking FPGAs: elude the flexibility excess of LUTs with and-inverter cones. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zefu Dai, Jianwen Zhu Saturating the transceiver bandwidth: switch fabric design on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Ting Loke, Yajun Ha Power-aware FPGA technology mapping for programmable-VT architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong, Yi Zou Resolving implicit barrier synchronizations in FPGA HLS (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lu Zhang 0018, Ke Zhang 0012, Tian Sheuan Chang, Gauthier Lafruit, Georgi Krasimirov Kuzmanov, Diederik Verkest Real-time high-definition stereo matching on FPGA. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Haohuan Fu, Robert G. Clapp Eliminating the memory bottleneck: an FPGA-based solution for 3d reverse time migration. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1David Grant, Chris C. Wang, Guy G. Lemieux A CAD framework for Malibu: an FPGA with time-multiplexed coarse-grained elements. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John Wawrzynek, Katherine Compton (eds.) Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, FPGA 2011, Monterey, California, USA, February 27, March 1, 2011 Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chris C. Wang, Guy G. Lemieux Scalable and deterministic timing-driven parallel placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Satnam Singh The RLOC is dead - long live the RLOC. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sven van Haastregt, Stephen Neuendorffer, Kees A. Vissers, Bart Kienhuis High level synthesis for FPGAs applied to a sphere decoder channel preprocessor (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yoshiki Yamaguchi, Kuen Hung Tsoi, Wayne Luk A comparison of FPGAs, GPUS and CPUS for Smith-Waterman algorithm (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Joshua M. Levine, Edward A. Stott, George A. Constantinides, Peter Y. K. Cheung Health monitoring of live circuits in FPGAs based on time delay measurement (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Juergen Ributzka, Yuhei Hayashi, Fei Chen, Guang R. Gao DEEP: an iterative fpga-based many-core emulation system for chip verification and architecture research. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mingjie Lin, Shaoyi Cheng, John Wawrzynek Using many-core architectural templates for FPGA-based computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tim Papenfuss, Holger Michel A platform for high level synthesis of memory-intensive image processing algorithms. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alfio Lombardo, Diego Reforgiato Recupero, Giovanni Schembra An accelerated and energy-efficient traffic monitor using the NetFPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jonathan W. Greene, Sinan Kaptanoglu, Wenyi Feng, Volker Hecht, Joel Landry, Fei Li 0003, Anton Krouglyanskiy, Mihai Morosan, Val Pevzner A 65nm flash-based FPGA fabric optimized for low cost and power. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hossein Omidian Savarbaghi, Kia Bazargan FPGA placement by graph isomorphism (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jason Luu, Jason Helge Anderson, Jonathan Rose Architecture description and packing for logic blocks with hierarchy, modes and complex interconnect. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Somnath Paul, Swarup Bhunia Memory based computing: reshaping the fine-grained logic in a reconfigurable framework (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Juan Luis Jerez, George A. Constantinides, Eric C. Kerrigan An FPGA implementation of a sparse quadratic programming solver for constrained predictive control. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Raphael Rubin, André DeHon Timing-driven pathfinder pathology and remediation: quantifying and reducing delay noise in VPR-pathfinder. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hock Soon Low, Delong Shang, Fei Xia, Alexandre Yakovlev Variation tolerant asynchronous FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Scott Y. L. Chin, Steven J. E. Wilton Towards scalable FPGA CAD through architecture. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew W. Hill, Andrea Di Blas, Richard Hughey FPGA-based fine-grain parallel computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hoang Le, Thilan Ganegedara, Viktor K. Prasanna Memory-efficient and scalable virtual routers using FPGA. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yu Cai 0001, Erich F. Haratsch, Mark P. McCartney, Mudit Bhargava, Ken Mai FPGA-based nand flash memory error characterization and solid-state drive prototyping platform (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrei Hagiescu, Weng-Fai Wong Co-synthesis of FPGA-based application-specific floating point simd accelerators. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ji Sun, Ray Bittner, Ken Eguro FPGA side-channel receivers. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fadi Obeidat, Robert H. Klenke Microblaze: an application-independent fpga-based profiler (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ling Liu, Oleksii Morozov, Yuxing Han 0001, Jürg Gutknecht, Patrick R. Hunziker Automatic SoC design flow on many-core processors: a software hardware co-design approach for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hadi Parandeh-Afshar, Grace Zgheib, Philip Brisk, Paolo Ienne Reducing the pressure on routing resources of FPGAs with generic logic chains. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jackson H. C. Yeung, Evangeline F. Y. Young, Philip Heng Wai Leong A monte-carlo floating-point unit for self-validating arithmetic. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dirk Koch, Jim Tørresen FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on fpgas for large problem sorting. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Trung Hieu Bui, Tuan Duy Anh Nguyen, Ngoc Thinh Tran BBFEX: a bloom-bloomier filter extension for long patterns in FPGA-based pattern matching system (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Haile Yu, Qiang Xu 0001, Philip Heng Wai Leong On timing yield improvement for FPGA designs using architectural symmetry (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Doris Chen, Deshanand P. Singh Line-level incremental resynthesis techniques for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Adler, Kermin Fleming, Angshuman Parashar, Michael Pellauer, Joel S. Emer Leap scratchpads: automatic memory and cache management for reconfigurable logic. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jianfeng Zhu 0001, Dong Wu, Yaru Yan, Xiao Yu, Hu He 0001, Liyang Pan A chip-level path-delay-distribution based Dual-VDD method for low power FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jonathan Rose, Guy G. Lemieux The role of FPGAs in a converged future with heterogeneous programmable processors: pre-conference workshop. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Srinidhi Kestur, Dharav Dantara, Vijaykrishnan Narayanan A streaming FPGA implementation of a steerable filter for real-time applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Charles Lo, Paul Chow Building a multi-FPGA virtualized restricted boltzmann machine architecture using embedded MPI. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christopher W. Fletcher, Ilia A. Lebedev, Narges Bani Asadi, Daniel Burke, John Wawrzynek Bridging the GPGPU-FPGA efficiency gap. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Martin Labrecque, J. Gregory Steffan NetTM: faster and easier synchronization for soft multicores via transactional memory. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Henry Wong, Vaughn Betz, Jonathan Rose Comparing FPGA vs. custom cmos and the impact on processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abdullah Nazma Nowroz, Sherief Reda Thermal and power characterization of field-programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Ju-Yueh Lee, Chun Zhang, Jiarong Tong, Zhigang Mao, Lei He 0001 Fault modeling and characteristics of SRAM-based FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew Canis, Jongsok Choi, Mark Aldham, Victor Zhang, Ahmed Kammoona, Jason Helge Anderson, Stephen Dean Brown, Tomasz S. Czajkowski LegUp: high-level synthesis for FPGA-based processor/accelerator systems. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tobias Kenter, Christian Plessl, Marco Platzner, Michael Kauschke Performance estimation framework for automated exploration of CPU-accelerator architectures. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christopher Han-Yu Chou, Aaron Severance, Alex D. Brant, Zhiduo Liu, Saurabh Sant, Guy G. Lemieux VEGAS: soft vector processor with scratchpad memory. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Justin S. J. Wong, Peter Y. K. Cheung Improved delay measurement method in FPGA based on transition probability. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Brian Van Essen, Robin Panda, Aaron Wood, Carl Ebeling, Scott Hauck Energy-efficient specialization of functional units in a coarse-grained reconfigurable array. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ravikesh Chandra, Oliver Sinnen Towards automated optimisation of tool-generated HW/SW sopc designs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Neil Steiner, Aaron Wood, Hamid Shojaei, Jacob Couch, Peter Athanas, Matthew French Torc: towards an open-source tool flow. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Steve Richfield Dealing with the "itanium effect" (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abhay Tavaragiri, Jacob Couch, Peter Athanas Exploration of FPGA interconnect for the design of unconventional antennas. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Schinke, Wallace Shep Pitts, Neil Di Spigna, Paul D. Franzon Low power interconnect design for fpgas with bidirectional wiring using nanocrystal floating gate devices (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xun Chen, Jianwen Zhu Regular fabric for regular FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John Wawrzynek Should the academic community launch an open-source FPGA device and tools effort?: evening panel. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Qiang Gao, Robert W. Stewart Improved double angle complex rotation QRD-RLS. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Peter Grossmann, Miriam Leeser A prototype FPGA for subthreshold-optimized CMOS (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Steven Trimberger, Jason Moore, Weiguang Lu Authenticated encryption for FPGA bitstreams. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1618 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license