The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ILP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (38) 1995 (28) 1996 (51) 1997 (74) 1998 (73) 1999 (82) 2000 (105) 2001 (81) 2002 (101) 2003 (113) 2004 (139) 2005 (159) 2006 (174) 2007 (196) 2008 (158) 2009 (114) 2010 (66) 2011 (75) 2012 (61) 2013 (46) 2014 (39) 2015 (51) 2016 (63) 2017 (58) 2018 (64) 2019 (36) 2020 (23) 2021 (32) 2022 (41) 2023 (33) 2024 (6)
Publication types (Num. hits)
article(444) book(1) incollection(8) inproceedings(1878) phdthesis(11) proceedings(38)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 781 keywords

Results
Found 2380 publication records. Showing 2380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Michael Bain 0001 Predicate Invention and the Revision of First-Order Concept Lattices. Search on Bibsonomy ICFCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Alexessander Alves, Rui Camacho, Eugénio C. Oliveira Improving Numerical Reasoning Capabilities of Inductive Logic Programming Systems. Search on Bibsonomy IBERAMIA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Seapahn Megerian, Milenko Drinic, Miodrag Potkonjak Watermarking integer linear programming solutions. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF digital watermarking, intellectual property protection
29Nada Lavrac, Peter A. Flach An extended transformation approach to inductive logic programming. Search on Bibsonomy ACM Trans. Comput. Log. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF data mining, machine learning, relational databases, inductive logic programming
29Mahmut T. Kandemir, Prithviraj Banerjee, Alok N. Choudhary, J. Ramanujam, Eduard Ayguadé Static and Dynamic Locality Optimizations Using Integer Linear Programming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache miss estimation, compiler optimizations, integer linear programming, Data reuse, cache locality, memory layouts
29Tamás Horváth 0001, Stefan Wrobel Towards Discovery of Deep and Wide First-Order Structures: A Case Study in the Domain of Mutagenicity. Search on Bibsonomy Discovery Science The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Tracy C. Denk, Keshab K. Parhi Two-dimensional retiming [VLSI design]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Dimitar Kazakov Achievements and Prospects of Learning Word Morphology with Inductive Logic Programming. Search on Bibsonomy Learning Language in Logic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29James Cussens, Stephen G. Pulman Experiments in Inductive Chart Parsing. Search on Bibsonomy Learning Language in Logic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Stefan Wrobel Scalability Issues in Inductive Logic Programming. Search on Bibsonomy ALT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MediaBench, SPEC benchmark suite, benchmark suite, compilation technology, experimental measurement, general-purpose computing, general-purpose systems, inner-loops, optimization, multimedia systems, instruction-level parallelism, SIMD, VLIW, communications systems, embedded applications, microprocessor architectures
29Stephen H. Muggleton Bayesian Inductive Logic Programming. Search on Bibsonomy COLT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Carina Lopes, Gerson Zaverucha HTILDE: scaling up relational decision trees for very large databases. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sampling, ILP, very large databases, Hoeffding bound
25Yi-Shuai Niu, Pham Dinh Tao A DC Programming Approach for Mixed-Integer Linear Programs. Search on Bibsonomy MCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GOA-DCA, Branch-and-Bound, ILP, MILP, DCA, MIP, DC Programming
25Makoto Oka, Hirohiko Mori, Masaru Saito An Information Filtering Method Based on User's Moods, Situations, and Preferences. Search on Bibsonomy HCI (8) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Recommendation, ILP, Situation, Moods
25Michael Emmi, Jeffrey S. Fischer, Ranjit Jhala, Rupak Majumdar Lock allocation. Search on Bibsonomy POPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF lock inference, atomicity, ILP
25Jung Ho Ahn, Mattan Erez, William J. Dally Tradeoff between data-, instruction-, and thread-level parallelism in stream processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ILP, TLP, stream processors, aspect ratio, DLP
25Andrei Sergeevich Terechko, Erwan Le Thenaff, Henk Corporaal Cluster assignment of global values for clustered VLIW processors. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler, register allocation, VLIW, instruction scheduler, ILP, cluster assignment
25Sunghyun Jee, Kannappan Palaniappan Performance evaluation for a compressed-VLIW processor. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CVLIW processor, individual instruction scheduling, VLIW, ILP
25Amr M. M. Ashmawy, Howaida F. Ismail, Aly H. Fahmy Hybrid Predication Model for Instruction Level Parallelism. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ILP, predication, masking, guarding, conditional execution
25Wolfram Amme, Peter Braun 0001, Eberhard Zehendner, François Thomasset Data Dependence Analysis of Assembly Code. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ILP, data dependence analysis, memory disambiguation
25Mark C. Johnson, Kaushik Roy 0001 Optimal Selection of Supply Voltages and Level Conversions During Data Path Scheduling Under Resource Constraints. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF level conversion, voltage selection, scheduling, low-power, synthesis, DSP, ILP, resource constraints, datapath
24David Coudert, Frédéric Giroire, Ignasi Sau Edge-Simple Circuits through 10 Ordered Vertices in Square Grids. Search on Bibsonomy IWOCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF edge-simple circuit, prescribed vertices, ILP solver, square grid
24Péter Soproni, Marcell Perényi, Tibor Cinkler Grooming-Enhanced Multicast in Multilayer Networks. Search on Bibsonomy ONDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optical multicast, multilayer network, ILP formulation, WDM
24Tolga Könik, John E. Laird Learning goal hierarchies from structured observations and expert annotations. Search on Bibsonomy Mach. Learn. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Relational learning by observation, Behavioral cloning, Cognitive agent architectures, Relational learning, Inductive logic programming (ILP)
24János Tapolcai, Pin-Han Ho A Deeper Study on Segment Shared Protection. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF restoration time, protection and restoration, switching/merging, integer linear program (ILP), segment shared protection (SSP), protection domain
24Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
24César Ferri, José Hernández-Orallo, M. José Ramírez-Quintana Induction of Decision Multi-trees Using Levin Search. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Levin search, Machine Learning, Minimum Description Length (MDL), Decision-tree Induction, Inductive Logic Programming (ILP)
24César Ferri, José Hernández-Orallo, M. José Ramírez-Quintana Incremental Learning of Functional Logic Programs. Search on Bibsonomy FLOPS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Inductive functional logic programming (IFLP), incremental learning, theory revision, inductive logic programming (ILP)
24Alexandre E. Eichenberger, Waleed Meleis Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ILP compiler technique, weighted completion time, lower bound, scheduling heuristic, Superblock
22Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nano-CMOS, power, leakage, SRAM, static noise margin
22Alain-Pierre Manine Learning the ontological theory of an information extraction system in the multi-predicate ILP setting. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genic interactions, multiple predicate learning, ontology, information extraction, inductive logic programming
22Meikang Qiu, Lei Zhang 0194, Edwin Hsing-Mean Sha ILP optimal scheduling for multi-module memory. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variable assignment, integer linear programming, energy saving, instruction scheduling
22Kunal P. Ganeshpure, Sandip Kundu An ILP Based ATPG Technique for Multiple Aggressor Crosstalk Faults Considering the Effects of Gate Delays. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tiled dataflow architectures, predication, power-performance trade-offs
22Cliff Chiung-Yu Lin, Yao-Wen Chang ILP-based pin-count aware design methodology for microfluidic biochips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microfludics, design methodology, integer linear programming, biochip
22Ozcan Ozturk 0001, Mahmut T. Kandemir ILP-Based energy minimization techniques for banked memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power operating modes, compilers, data compression, replication, migration, DRAM, Memory banking
22Philipp Cimiano, Helena Hartfiel, Sebastian Rudolph Intensional Question Answering Using ILP: What Does an Answer Mean?. Search on Bibsonomy NLDB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yibo Chen, Jin Ouyang, Yuan Xie 0001 ILP-based scheme for timing variation-aware scheduling and resource binding. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Saraju P. Mohanty ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Minsik Cho, David Z. Pan BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Anup Gangwar, M. Balakrishnan, Anshul Kumar Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, VLIW, ASIP, clustered VLIW processors
22Seda Daglar Toprak, Pinar Senkul, Yusuf Kavurucu, Ismail Hakki Toroslu A New ILP-based Concept Discovery Method for Business Intelligence. Search on Bibsonomy ICDE Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Markus Chimani, Maria Kandyba, Petra Mutzel A New ILP Formulation for 2-Root-Connected Prize-Collecting Steiner Networks. Search on Bibsonomy ESA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ali R. Iranpour, Krzysztof Kuchcinski Performance Improvement for H.264 Video Encoding using ILP Embedded Processor. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Kang Zhao, Jinian Bian A Clustering ILP Model for Fast Instruction Selection in Embedded Applicated Specific Processor Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Masaki Yokoyama, Tohgoroh Matsui, Hayato Ohwada Detecting and Revising Misclassifications Using ILP. Search on Bibsonomy Discovery Science The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Suleyman Tosun, Ozcan Ozturk 0001, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie 0001, Wei-Lun Hung An ILP Formulation for Reliability-Oriented High-Level Synthesis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu 0001, Changkyu Kim, Jaehyuk Huh 0001, Nitya Ranganathan, Doug Burger, Stephen W. Keckler, Robert G. McDonald, Charles R. Moore TRIPS: A polymorphous architecture for exploiting ILP, TLP, and DLP. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable and high-performance computing, Computer architecture, configurable computing
22Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Simha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler Scalable Hardware Memory Disambiguation for High ILP Processors. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Power Fluctuation Minimization During Behavioral Synthesis using ILP-Based Datapath Scheduling. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi An ILP-based scheduling scheme for energy efficient high performance datapath synthesis. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu 0001, Changkyu Kim, Jaehyuk Huh 0001, Doug Burger, Stephen W. Keckler, Charles R. Moore Exploiting ILP, TLP and DLP with the Polymorphous TRIPS Architecture. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Edmund M. Clarke, Anubhav Gupta 0001, James H. Kukula, Ofer Strichman SAT Based Abstraction-Refinement Using ILP and Machine Learning Techniques. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Gilles Richard, Fatima Zohra Kettaf Proof Length as an Uncertainty Factor in ILP. Search on Bibsonomy Soft-Ware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Farinaz Koushanfar, Jennifer L. Wong, Jessica Feng, Miodrag Potkonjak ILP-based engineering change. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF engineering change, satisfiability(SAT), synthesis, integer linear programming
22Sang Jeong Lee, Pen-Chung Yew On Table Bandwidth and Its Update Delay for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Decoupled value prediction, prediction value cache, dynamic classification
22Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang Generic ILP-based approaches for time-multiplexed FPGA partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Daniel Kästner, Sebastian Winkel ILP-based Instruction Scheduling for IA-64. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Hui Wu 0001, Joxan Jaffar An Efficient Algorithm for Scheduling Instructions with Deadline Constraints on ILP Processors. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Sang Jeong Lee, Pen-Chung Yew On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Wen-Tsong Shiue Optimizing Memory Bandwidth with ILP Based Memory Exploration and Assignment for Low Power Embedded Systems. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John Allowing for ILP in an embedded Java processor. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Kazuhito Ito, Lori E. Lucke, Keshab K. Parhi ILP-based cost-optimal DSP synthesis with module selection and data format conversion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Joy Shetler, Brian Hemme, Chia Yang, Christopher Hinsz Prototyping New ILP Architectures Using FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Anne Mignotte, Olivier Peyran Scheduling using mixed arithmetic: an ILP formulation. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Hilde Adé, Bart Malfait, Luc De Raedt RUTH: an ILP Theory Revision System. Search on Bibsonomy ISMIS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Inductive Logic Programming, Theory Revision
22Hongbin Luo, Lemin Li, Hongfang Yu Routing connections with differentiated reliability requirements in WDM mesh networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF differentiated reliability, reliability, routing algorithm, mesh networks, wavelength-division multiplexing (WDM)
22Suraj Kumar Jaiswal, Aura Ganz, Ramgopal R. Mettu An Optimization Framework for Demand-based Fair Stream Allocation in MIMO Ad Hoc Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiuser communication, scheduling, ad hoc networks, integer linear programming, multiple input multiple output
22Huma Lodhi, Stephen H. Muggleton, Michael J. E. Sternberg Learning Large Margin First Order Decision Lists for Multi-Class Classification. Search on Bibsonomy Discovery Science The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Ernst Althaus, Gunnar W. Klau, Oliver Kohlbacher, Hans-Peter Lenhof, Knut Reinert Integer Linear Programming in Computational Biology. Search on Bibsonomy Efficient Algorithms The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra Combining thread level speculation helper threads and runahead execution. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-cores, thread-level speculation, helper threads, runahead execution
22Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Srinath Sridhar 0001, Fumei Lam, Guy E. Blelloch, R. Ravi 0001, Russell Schwartz Mixed Integer Linear Programming for Maximum-Parsimony Phylogeny Inference. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Phylogenetic tree reconstruction, Algorithms, Integer Linear Programming, Computational Biology, Steiner tree problem, Maximum parsimony
22Jianzhong Chen, Stephen H. Muggleton, José Carlos Almeida Santos Learning probabilistic logic models from probabilistic examples. Search on Bibsonomy Mach. Learn. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Probabilistic inductive logic programming, Stochastic logic programs, Probabilistic examples, Abduction
22Thomas G. Dietterich, Pedro M. Domingos, Lise Getoor, Stephen H. Muggleton, Prasad Tadepalli Structured machine learning: the next ten years. Search on Bibsonomy Mach. Learn. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Structured machine learning, Inductive logic programming, Relational learning, Statistical relational learning
22Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao Fast and Optimal Redundant Via Insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Liqun Fu 0001, Soung Chang Liew, Jianwei Huang Joint Power Control and Link Scheduling in Wireless Networks for Throughput Optimization. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22David Lastine, Arun K. Somani Supplementing Non-Simple p-Cycles with Preconfigured Lines. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao Optimal post-routing redundant via insertion. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF redundant via insertion, via density, integer linear program
22Nobuhiro Inuzuka, Hiroyuki Ishida, Tomofumi Nakano Control of Hypothesis Space Using Meta-knowledge in Inductive Learning. Search on Bibsonomy KES (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Masaru Miyazaki, Masahiro Shibata, Nobuyuki Yagi Baseball Digest Production System Using Inductive Logic Programming. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ajay Kumar Todimala, Byrav Ramamurthy A scalable approach for survivable virtual topology routing in optical WDM networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chongbing Liu, Enrico Pontelli Inductive Logic Programming by Instance Patterns. Search on Bibsonomy PADL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concept Instance, Patterns, Inductive Logic Programming
22Richard Frank, Flavia Moser, Martin Ester A Method for Multi-relational Classification Using Single and Multi-feature Aggregation Functions. Search on Bibsonomy PKDD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-relational datamining, multi-relational classification, multi-feature aggregation, existential quantifier
22Ross D. King, Andreas Karwath, Amanda Clare, Luc Dehaspe Logic and the Automatic Acquisition of Scientific Knowledge: An Application to Functional Genomics. Search on Bibsonomy Computational Discovery of Scientific Knowledge The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sebastian Winkel Optimal versus Heuristic Global Code Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xiaoping Tang, Xin Yuan, Michael S. Gray Practical method for obtaining a feasible integer solution in hierarchical layout optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Cluster-level simultaneous multithreading for VLIW processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Feng Gao 0017, John P. Hayes Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Roberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto Using speculative computation and parallelizing techniques to improve scheduling of control based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Appaya Devaraj Swaminathan, Nastooh Avessta Integer linear programming method for spatial temporal mapping of the Viterbi decoder. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Nuno A. Fonseca, Fernando M. A. Silva, Rui Camacho April - An Inductive Logic Programming System. Search on Bibsonomy JELIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Krishnan Srinivasan, Karam S. Chatha A Methodology for Layout Aware Design and Optimization of Custom Network-on-Chip Architectures. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Christopher H. Bryant, Daniel Fredouille, Alex Wilson, Channa K. Jayawickreme, Steven Jupe, Simon Topp Pertinent Background Knowledge for Learning Protein Grammars. Search on Bibsonomy ECML The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Baohua Wang, Pinaki Mazumder Bounding Supply Noise Induced Path Delay Variation Using a Relaxation Approach. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Stephen H. Muggleton, Huma Lodhi, Ata Amini, Michael J. E. Sternberg Support Vector Inductive Logic Programming. Search on Bibsonomy Discovery Science The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license