The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1994 (30) 1995 (18) 1996 (19) 1997 (25) 1998 (32) 1999 (43) 2000 (50) 2001 (40) 2002 (62) 2003 (68) 2004 (98) 2005 (127) 2006 (147) 2007 (183) 2008 (186) 2009 (145) 2010 (148) 2011 (173) 2012 (181) 2013 (156) 2014 (197) 2015 (163) 2016 (196) 2017 (185) 2018 (163) 2019 (192) 2020 (175) 2021 (192) 2022 (192) 2023 (242) 2024 (52)
Publication types (Num. hits)
article(1429) data(1) incollection(2) inproceedings(2434) phdthesis(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 989 occurrences of 488 keywords

Results
Found 3882 publication records. Showing 3880 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Jae-Won Nam, Ju-Hyeok Ahn, Jong-Phil Hong Compact SRAM-Based PUF Chip Employing Body Voltage Control Technique. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jaeseong Lee 0005, Piljoo Choi, Dong Kyue Kim Lightweight and Low-Latency AES Accelerator Using Shared SRAM. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Keisuke Kozu, Yuya Tanabe, Masato Kitakami, Kazuteru Namba Low Power Neural Network by Reducing SRAM Operating Voltage. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Azam Seyedi, Snorre Aunet, Per Gunnar Kjeldsberg Nwise and Pwise: 10T Radiation Hardened SRAM Cells for Space Applications With High Reliability Requirements. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Rongmei Chen, Lin Chen, Jie Liang, Yuanqing Cheng, Souhir Elloumi, Jaehyun Lee, Kangwei Xu, Vihar P. Georgiev, Kai Ni 0004, Peter Debacker, Asen Asenov, Aida Todri-Sanial Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation - Part I: CNFET Transistor Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jian Chen, Wenfeng Zhao, Yuqi Wang, Yuhao Shu, Weixiong Jiang, Yajun Ha A Reliable 8T SRAM for High-Speed Searching and Logic-in-Memory Operations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Rongmei Chen, Lin Chen, Jie Liang, Yuanqing Cheng, Souhir Elloumi, Jaehyun Lee, Kangwei Xu, Vihar P. Georgiev, Kai Ni 0004, Peter Debacker, Asen Asenov, Aida Todri-Sanial Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation - Part II: CNT Interconnect Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11O. Mohana Chandrika, M. Siva Kumar Design and analysis of SRAM cell using reversible logic gates towards smart computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Fan Zhang, Chenguang Guo, Shifeng Zhang, Qinqin Zeng, Tri Gia Nguyen A genetic algorithm-based on-orbit self-repair implementation for SRAM FPGAs. Search on Bibsonomy Expert Syst. J. Knowl. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Zhen Gao 0005, Jinhua Zhu, Tong Yan Tyan, Anees Ullah, Pedro Reviriego Fault Tolerant Polyphase Filters-Based Decimators for SRAM-Based FPGA Implementations. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Junchao Chen 0001, Thomas Lange, Marko S. Andjelkovic, Aleksandar Simevski, Lu Li, Milos Krstic Solar Particle Event and Single Event Upset Prediction from SRAM-Based Monitor and Supervised Machine Learning. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11T. Venkata Lakshmi, M. Kamaraju A Review on SRAM Memory Design Using FinFET Technology. Search on Bibsonomy Int. J. Syst. Dyn. Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Bhawna Rawat, Poornima Mittal A Reliable and Temperature Variation Tolerant 7T SRAM Cell with Single Bitline Configuration for Low Voltage Application. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Morteza Gholipour Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Elangovan Mani, Morteza Gholipour, Mehrzad Karamimanesh, Mohd Sahid, Adil Zaidi A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Salimeh Shahrabadi Challenges and solutions of working under threshold supply-voltage, for CNTFET-based SRAM-bitcell. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Uma Maheshwar Janniekode, Rajendra Prasad Somineni, Osamah Ibrahim Khalaf, Malakeh Muhyiddeen Itani, Jyothi Chinna Babu, Ghaida Muttashar Abdulsahib A Symmetric Novel 8T3R Non-Volatile SRAM Cell for Embedded Applications. Search on Bibsonomy Symmetry The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Soumitra Pal 0002, Wing-Hung Ki, Chi-Ying Tsui Soft-Error-Aware Read-Stability-Enhanced Low-Power 12T SRAM With Multi-Node Upset Recoverability for Aerospace Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Samuel Spetalnick, Arijit Raychowdhury A Practical Design-Space Analysis of Compute-in-Memory With SRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11He Zhang 0011, Junzhan Liu, Jinyu Bai, Sai Li, Lichuan Luo, Shaoqian Wei, Jianxin Wu, Wang Kang 0001 HD-CIM: Hybrid-Device Computing-In-Memory Structure Based on MRAM and SRAM to Reduce Weight Loading Energy of Neural Networks. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Junjie Mu, Hyunjoon Kim, Bongjin Kim SRAM-Based In-Memory Computing Macro Featuring Voltage-Mode Accumulator and Row-by-Row ADC for Processing Neural Networks. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian A Highly Stable Low-Energy 10T SRAM for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Léopold Van Brandt, Roghayeh Saeidi, David Bol, Denis Flandre Accurate and Insightful Closed-Form Prediction of Subthreshold SRAM Hold Failure Rate. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Farzaneh Izadinasab, Morteza Gholipour A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Lu Lu 0013, Taegeun Yoo, Tony Tae-Hyoung Kim A 6T SRAM Based Two-Dimensional Configurable Challenge-Response PUF for Portable Devices. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Luis Alberto Aranda, Oscar Ruano, Francisco Garcia-Herrero, Juan Antonio Maestro ACME-2: Improving the Extraction of Essential Bits in Xilinx SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Lu Lu 0013, Tony Tae-Hyoung Kim A High Reliable SRAM-Based PUF With Enhanced Challenge-Response Space. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Xin Qiao, Jiahao Song, Xiyuan Tang, Haoyang Luo, Nanbing Pan, Xiaoxin Cui, Runsheng Wang, Yuan Wang 0001 A 65 nm 73 kb SRAM-Based Computing-In-Memory Macro With Dynamic-Sparsity Controlling. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yizhak Shifman, Joseph Shor Preselection Methods to Achieve Very Low BER in SRAM-Based PUFs - A Tutorial. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yongqiang Zhang 0006, Chunsong Zhu, Xin Cheng 0001, Guangjun Xie Design and Implementation of SRAM for LUT and CLB Using Clocking Mechanism in Quantum-Dot Cellular Automata. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Sunghoon Kim, Wonjae Lee, Sundo Kim, Sungjin Park, Dongsuk Jeon An In-Memory Computing SRAM Macro for Memory-Augmented Neural Network. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Keonhee Cho, Juhyun Park, Ki-Ryong Kim, Tae Woo Oh, Seong-Ook Jung SRAM Write Assist Circuit Using Cell Supply Voltage Self-Collapse With Bitline Charge Sharing for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Sujata Pandey, Saket Kumar, Vipul Bhatnagar, Richa Sharma, D. Baba basha, Preeti Dhiman A low leakage substrate bias-assisted technique for low voltage dual bit-line SRAM. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Marco Grossi, Meryem Bouras, Martin Omaña 0001, Hassan Berbia Low-Cost Strategy to Detect Faults Affecting Scrubbers in SRAM-Based FPGAs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11V. K. Tomar, Ashish Sachdeva Design of a soft error hardened SRAM cell with improved access time for embedded systems. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Ashish Sachdeva, V. K. Tomar Characterization of Stable 12T SRAM with Improved Critical Charge. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11M. Elangovan, M. Muthukrishnan A Low-Power and High-Stability 8T SRAM Cell with Diode-Connected Transistors. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Harekrishna Kumar, V. K. Tomar Design of Low Leakage 9T SRAM Cell with Improved Performance for Ultra-Low Power Devices. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Zeyu Li, Pengfei Yang 0001, Zhao Huang, Quan Wang 0006 AM&FT: An Aging Mitigation and Fault Tolerance Framework for SRAM-Based FPGA in Space Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11N. Vinodhkumar, G. Durga, S. Muthumanickam Numerical Study on SEU Performance of Strain Engineered 6T-SRAM Cells. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11M. Elangovan, M. Muthukrishnan Design of High Stability and Low Power 7T SRAM Cell in 32-NM CNTFET Technology. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Syuan-Hao Sie, Jye-Luen Lee, Yi-Ren Chen, Zuo-Wei Yeh, Zhaofang Li, Chih-Cheng Lu, Chih-Cheng Hsieh, Meng-Fan Chang, Kea-Tiong Tang MARS: Multimacro Architecture SRAM CIM-Based Accelerator With Co-Designed Compressed Neural Networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Gokul Krishnan, Zhenyu Wang, Injune Yeo, Li Yang 0009, Jian Meng, Maximilian Liehr, Rajiv V. Joshi, Nathaniel C. Cady, Deliang Fan, Jae-Sun Seo, Yu Cao 0001 Hybrid RRAM/SRAM in-Memory Computing for Robust DNN Acceleration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Shilpi Birla, Emad Mojaveri Moslem Design and investigation of stability- and power-improved 11T SRAM cell for low-power devices. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Morteza Gholipour A low-leakage single-bitline 9T SRAM cell with read-disturbance removal and high writability for low-power biomedical applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Rohit Lorenzo, Roy Paily Half-selection disturbance free 8T low leakage SRAM cell. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Saleh Abdel-Hafeez, Sanabel Otoom, Muhannad Quwaider Design of memory Alias Table based on the SRAM 8T-Cell. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Damodhar Rao M., Y. V. Narayana, V. V. K. D. V. Prasad Ultra low power offering 14 nm bulk double gate FinFET based SRAM cells. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Zhi-Wei Lai, Po-Hua Huang, Kuen-Jong Lee Using both Stable and Unstable SRAM Bits for the Physical Unclonable Function. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Neha Pannu, Neelam Rup Prakash, Jasbir Kaur Effect of Sizing and Scaling on Power Dissipation and Resilience of an RHBD SRAM Circuit. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Wendong Wang, Adit D. Singh, Ujjwal Guin A Systematic Bit Selection Method for Robust SRAM PUFs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Abhishek Bhattacharjee, Abhishek Nag, Kaushik Das, Sambhu Nath Pradhan Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Abhay S. Vidhyadharan, Sanjay Vidhyadharan CNFET Based Ultra-Low-Power Schmitt Trigger SRAM for Internet of Things (IoT) Applications. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Vipul Bhatnagar, Manoj Kumar Pandey, Sujata Pandey A Variation Tolerant Nanoscale SRAM for Low Power Wireless Sensor Nodes. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Ancy Joy, Jinsa Kuruvilla A Stable Low Power Dissipating 9 T SRAM for Implementation of 4 × 4 Memory Array with High Frequency Analysis. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Maha Kooli, Antoine Heraud, Henri-Pierre Charles, Bastien Giraud, Roman Gauchi, Mona Ezzadeen, Kevin Mambu, Valentin Egloff, Jean-Philippe Noel Towards a Truly Integrated Vector Processing Unit for Memory-bound Applications Based on a Cost-competitive Computational SRAM Design Solution. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Govind Prasad, Bipin Chandra Mandi, Maifuz Ali Energy-efficient radiation hardened SRAM cell for low voltage terrestrial applications. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Erfan Abbasian, Shilpi Birla, Morteza Gholipour Ultra-low-power and stable 10-nm FinFET 10T sub-threshold SRAM. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Anil Kumar Rajput, Manisha Pattanaik, Gaurav Kaushal Local bit-line shared pass-gate 8T SRAM based energy efficient and reliable In-Memory Computing architecture. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yue Zhao, Jinkai Wang, Zhongzhen Tong, Xiulong Wu, Chunyu Peng, Wenjuan Lu, Qiang Zhao 0007, Zhiting Lin An offset cancellation technique for SRAM sense amplifier based on relation of the delay and offset. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Epiphany Jebamalar Leavline, Somasekaran Sujitha Design of FinFET based low power, high speed hybrid decoder for SRAM. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Kanglin Xiao, Xiaoxin Cui, Xin Qiao, Xin'an Wang, Yuan Wang 0001 A 128 Kb DAC-less 6T SRAM computing-in-memory macro with prioritized subranging ADC for AI edge applications. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Saeed Seyedfaraji, Baset Mesgari, Semeen Rehman SMART: Investigating the Impact of Threshold Voltage Suppression in an In-SRAM Multiplication/Accumulation Accelerator for Accuracy Improvement in 65 nm CMOS Technology. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Guodong Yin, Mufeng Zhou, Yiming Chen, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li A 65nm 8b-Activation 8b-Weight SRAM-Based Charge-Domain Computing-in-Memory Macro Using A Fully-Parallel Analog Adder Network and A Single-ADC Interface. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Joonhyung Kim, Kyeongho Lee, Jongsun Park 0001 A Charge Domain P-8T SRAM Compute-In-Memory with Low-Cost DAC/ADC Operation for 4-bit Input Processing. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Saeed Seyedfaraji, Baset Mesgari, Semeen Rehman AID: Accuracy Improvement of Analog Discharge-Based in-SRAM Multiplication Accelerator. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yu-Hsiang Chiang, Tian-Sheuan Chang, Shyh-Jye Jou A 14uJ/Decision Keyword Spotting Accelerator with In-SRAM-Computing and On Chip Learning for Customization. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Panagiotis Chatziantoniou, Antonis Tsigkanos, Dimitris Theodoropoulos, Nektarios Kranitis, Antonis M. Paschalis An Efficient Architecture and High-Throughput Implementation of CCSDS-123.0-B-2 Hybrid Entropy Coder Targeting Space-Grade SRAM FPGA Technology. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jingyao Zhang 0002, Hoda Naghibijouybari, Elaheh Sadredini Sealer: In-SRAM AES for High-Performance and Low-Overhead Memory Encryption. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jingyao Zhang 0002, Elaheh Sadredini Inhale: Enabling High-Performance and Energy-Efficient In-SRAM Cryptographic Hash for IoT. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Shan Shen, Peng Cao 0002, Ming Ling, Longxing Shi A Timing Yield Model for SRAM Cells in Sub/Near-threshold Voltages Based on A Compact Drain Current Model. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
11Shu-Hung Kuo, Tian-Sheuan Chang PSCNN: A 885.86 TOPS/W Programmable SRAM-based Computing-In-Memory Processor for Keyword Spotting. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yiming Chen, Yushen Fu, Mingyen Lee, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li FAST: A Fully-Concurrent Access Technique to All SRAM Rows for Enhanced Speed and Energy Efficiency in Data-Intensive Applications. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Vishal Sharma 0004, Ju Eon Kim, Hyunjoon Kim, Lu Lu 0013, Tony Tae-Hyoung Kim A Reconfigurable 16Kb AND8T SRAM Macro With Improved Linearity for Multibit Compute-In Memory of Artificial Intelligence Edge Devices. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Siddhartha Raman Sundara Raman, S. S. Teja Nibhanupudi, Jaydeep P. Kulkarni Enabling In-Memory Computations in Non-Volatile SRAM Designs. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Injun Choi, Edward Jongyoon Choi, Donghyeon Yi, Yoontae Jung, Hoyong Seong, Hyuntak Jeon, Soon-Jae Kweon, Ik-Joon Chang, Sohmyung Ha, Minkyu Je An SRAM-Based Hybrid Computation-in-Memory Macro Using Current-Reused Differential CCO. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Michael Amar, Amit Kama, Kang Wang, Yossi Oren Comment on "SRAM-PUF Based Entities Authentication Scheme for Resource-constrained IoT Devices". Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
11Tomoki Kaneko, Hirobumi Saito, Akira Hirose SRAM: A Septum-Type Polarizer Design Method Based on Superposed Even- and Odd-Mode Excitation Analysis. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Dashan Shi, Heng You, Jia Yuan, Yulian Wang, Shushan Qiao A Low-Power High-Speed Sensing Scheme for Single-Ended SRAM. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Bharathi Raj Muthu, Ewins Pon Pushpa, Vaithiyanathan Dhandapani, Kamala Jayaraman, Hemalatha Vasanthakumar, Won-Chun Oh, Suresh Sagadevan Design and Analysis of Soft Error Rate in FET/CNTFET Based Radiation Hardened SRAM Cell. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Muhammad Bintang Gemintang Sulaiman, Jin-Yu Lin, Jian-Bai Li, Cheng-Ming Shih, Kai-Cheung Juang, Chih-Cheng Lu SRAM-Based CIM Architecture Design for Event Detection. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jialu Yin, Jia Yuan, Zhi Li, Shushan Qiao A two-dimension half-select free 12T SRAM cell with enhanced write ability and read stability for bit-interleaving architecture. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Dashan Shi, Jia Yuan, Jialu Yin, Yulian Wang, Shushan Qiao A bit-interleaving 12T bitcell with built-in write-assist for sub-threshold SRAM. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Bo-Xi Lai, Shih-Hsu Huang, Hsu-Yu Kao A Reinforcement Learning Methodology for The Search of SRAM CIM-based Accelerator Configuration. Search on Bibsonomy ICCE-TW The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Sepehr Tabrizchi, Shaahin Angizi, Arman Roohi Design and Evaluation of a Robust Power-Efficient Ternary SRAM Cell. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Roberto Román, Rosario Arjona, Iluminada Baturone Post-quantum Secure Communication with IoT Devices Using Kyber and SRAM Behavioral and Physical Unclonable Functions (Extended Abstract). Search on Bibsonomy ADIoT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Pablo Saraza-Canflanca, Héctor Carrasco-Lopez, Andrés Santana-Andreo, Javier Diaz-Fortuny, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001 A Smart SRAM-Cell Array for the Experimental Study of Variability Phenomena in CMOS Technologies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Shayesteh Masoumian, Georgios N. Selimis, Rui Wang, Geert Jan Schrijen, Said Hamdioui, Mottaqiallah Taouil Reliability Analysis of FinFET-Based SRAM PUFs for 16nm, 14nm, and 7nm Technology Nodes. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Saeed Seyedfaraji, Baset Mesgari, Semeen Rehman AID: Accuracy Improvement of Analog Discharge-Based in-SRAM Multiplication Accelerator. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Adarsh Kosta, Efstathia Soufleri, Indranil Chakraborty, Amogh Agrawal, Aayush Ankit, Kaushik Roy 0001 HyperX: A Hybrid RRAM-SRAM partitioned system for error recovery in memristive Xbars. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Saeed Seyedfaraji, Baset Mesgari, Semeen Rehman SMART: Investigating the Impact of Threshold Voltage Suppression in an In-SRAM Multiplication/Accumulation Accelerator for Accuracy Improvement in 65 nm CMOS Technology. Search on Bibsonomy DSD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Qian Zou, Ning Zhang, Feng Guo, Qingshan Kong, Zhiqiang Lv Multi-region SRAM-Based TCAM for Longest Prefix. Search on Bibsonomy SciSec The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Shengyu Duan, Gaole Sai Protecting SRAM PUF from BTI Aging-based Cloning Attack. Search on Bibsonomy SBCCI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Mohammad Redwan Islam, Susmita Karmaker, Md. Abrar Ibtesham, Irfan Rahman A Novel Low Power Single Bit SRAM Cell Using Quasi-Adiabatic Logic. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Dengfeng Wanq, Zhi Li, Chengjun Chang, Weifeng He, Yanan Sun 0003 All-Digital Full-Precision In-SRAM Computing with Reduction Tree for Energy-Efficient MAC Operations. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Tianqi Xu, Shumeng Li, Fukun Su, Xian Tang A Current Domain Computing-in-Memory SRAM Macro with Hybrid IAF-SAR ADC for Signal Margin Enhancement. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Kanglin Xiao, Xiaoxin Cui, Xin Qiao, Xin'an Wang, Yuan Wang 0001 A Reconfigurable SRAM Computing-in-Memory Macro Supporting Ping-Pong Operation and CIM pipeline for Multi-mode MAC operations. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Mridula Prathapan, Peter Mueller, Christian Menolfi, Matthias Brändli, Marcel A. Kossel, Pier Andrea Francese, David Heim, Maria Vittoria Oropallo, Andrea Ruffino, Cezar B. Zota, Thomas Morf A cryogenic SRAM based arbitrary waveform generator in 14 nm for spin qubit control. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Amitesh Sridharan, Shaahin Angizi, Sai Kiran Cherupally, Fan Zhang 0069, Jae-Sun Seo, Deliang Fan A 1.23-GHz 16-kb Programmable and Generic Processing-in-SRAM Accelerator in 65nm. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Adrian Kneip, Martin Lefebvre 0002, Julien Verecken, David Bol A 1-to-4b 16.8-POPS/W 473-TOPS/mm2 6T-based In-Memory Computing SRAM in 22nm FD-SOI with Multi-Bit Analog Batch-Normalization. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3880 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license