|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
31 | Gernot Gebhard, Sebastian Altmeyer |
Optimal task placement to improve cache performance. |
EMSOFT |
2007 |
DBLP DOI BibTeX RDF |
task placement, predictability, cache analysis |
31 | Olga Golubeva, Mirko Loghi, Enrico Macii, Massimo Poncino |
Locality-driven architectural cache sub-banking for leakage energy reduction. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
banked cache, memory hierarchy, leakage reduction, architectural optimization |
31 | Ehsan Atoofian, Amirali Baniasadi, Kaveh Aasaraai |
Speculative supplier identification for reducing power of interconnects in snoopy cache coherence protocols. |
Conf. Computing Frontiers |
2007 |
DBLP DOI BibTeX RDF |
interconnect, power, SMP, cache coherence protocol |
31 | Yan Chu 0001, Jianpei Zhang |
Cache Management Based on an Ant Colony Model in Wireless Mobile Environments. |
IMSCCS |
2007 |
DBLP DOI BibTeX RDF |
ant colonies I, mobile computing, mobile agent, cache |
31 | Yue Kou, Derong Shen, Ge Yu 0001, Tiezheng Nie, Dong Li 0023 |
A Top-K-based cache model for deep web query. |
Infoscale |
2007 |
DBLP DOI BibTeX RDF |
deep web, Top-K, IR, cache model, data reorganization |
31 | Jianhua Feng, Na Ta 0001, Guoliang Li 0001, Yu Liu 0068, Dapeng Lv |
A framework of semantic cache for secure XML query answering: an interesting joint and novel perspective. |
Infoscale |
2007 |
DBLP DOI BibTeX RDF |
security, XML, semantic cache |
31 | Rezaul Alam Chowdhury, Vijaya Ramachandran |
The cache-oblivious gaussian elimination paradigm: theoretical framework, parallelization and experimental evaluation. |
SPAA |
2007 |
DBLP DOI BibTeX RDF |
matrix multiplication, tiling, Gaussian elimination, cache-oblivious algorithm, all-pairs shortest path |
31 | Yukiyasu Tsunoo, Etsuko Tsujihara, Maki Shigeri, Hiroyasu Kubo, Kazuhiko Minematsu |
Improving cache attacks by considering cipher structure. |
Int. J. Inf. Sec. |
2006 |
DBLP DOI BibTeX RDF |
Block cipher, Side channel attack, Timing attack, Cache attack |
31 | Anna Satsiou, Michael Paterakis |
Frequency-based cache management policies for collaborative and non-collaborative topologies of segment based video caching proxies. |
Multim. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Collaborative caching, Frequency-based cache management policies, Segment-based video caching, Caching architecture |
31 | Keqiu Li, Hong Shen 0001, Keishi Tajima, Liusheng Huang |
An Effective Cache Replacement Algorithm in Transcoding-Enabled Proxies. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
World Wide Web, multimedia, transcoding, proxy caching, cache replacement |
31 | Michele Co, Dee A. B. Weikle, Kevin Skadron |
Evaluating trace cache energy efficiency. |
ACM Trans. Archit. Code Optim. |
2006 |
DBLP DOI BibTeX RDF |
fetch engine energy efficiency, Trace cache |
31 | Mirko Loghi, Massimo Poncino, Luca Benini |
Cache coherence tradeoffs in shared-memory MPSoCs. |
ACM Trans. Embed. Comput. Syst. |
2006 |
DBLP DOI BibTeX RDF |
low power, multiprocessor, system-on-chip, Cache coherence |
31 | Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng |
Live Range Aware Cache Architecture. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
Live Range, Cache, Memory Hierarchy |
31 | Farshad Khunjush, Nikitas J. Dimopoulos |
Lazy direct-to-cache transfer during receive operations in a message passing environment. |
Conf. Computing Frontiers |
2006 |
DBLP DOI BibTeX RDF |
network cache, MPI, latency hiding |
31 | Yen-Jen Chang, Feipei Lai |
Dynamic Zero-Sensitivity Scheme for Low-Power Cache Memories. |
IEEE Micro |
2005 |
DBLP DOI BibTeX RDF |
Dynamic zero-sensitivity, Bitlines, DZS, Cache, Power reduction |
31 | Qingbo Zhu, Yuanyuan Zhou 0001 |
Power-Aware Storage Cache Management. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
storage cache replacement, Power management, disk storage, write policies |
31 | Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero |
Software Trace Cache. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch |
31 | Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta 0001 |
Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
Cache-line size adaptivity, parameterized loop nests, interference, spatial locality |
31 | Lei Shi 0001, Zhimin Gu, Lin Wei, Yun Shi |
Quantitative Analysis of Zipf's Law on Web Cache. |
ISPA |
2005 |
DBLP DOI BibTeX RDF |
Zipf’s law, Top-N, Web Cache, Web Prefetching |
31 | H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan |
On the Correctness of Program Execution When Cache Coherence Is Maintained Locally at Data-Sharing Boundaries in Distributed Shared Memory Multiprocessors. |
Int. J. Parallel Program. |
2004 |
DBLP DOI BibTeX RDF |
programmer-centric framework, release consistency memory model, performance evaluation, cache coherence, Distributed shared-memory multiprocessor |
31 | Xavier Vera, Nerina Bermudo, Josep Llosa, Antonio González 0001 |
A fast and accurate framework to analyze and optimize cache memory behavior. |
ACM Trans. Program. Lang. Syst. |
2004 |
DBLP DOI BibTeX RDF |
optimization, sampling, Cache memories |
31 | G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 |
On the effectiveness of prefetching and reuse in reducing L1 data cache traffic: a case study of Snort. |
WMPI |
2004 |
DBLP DOI BibTeX RDF |
cache traffic, intrusion detection, pattern matching, network processor, instruction reuse |
31 | Anna Satsiou, Michael Paterakis |
Impact of Frequency-Based Cache Management Policies on the Performance of Segment Based Video Caching Proxies. |
NETWORKING |
2004 |
DBLP DOI BibTeX RDF |
Video Proxy Caching, Segment-Based Video Caching, Frequency Based Cache Management Policies, Multimedia Streaming |
31 | Sarut Vanichpun, Armand M. Makowski |
The output of a cache under the independent reference model: where did the locality of reference go? |
SIGMETRICS |
2004 |
DBLP DOI BibTeX RDF |
output of a cache, popularity, majorization, locality of reference |
31 | Amit Agarwal 0001, Kaushik Roy 0001 |
A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
diode, low leakage cache, SRAM, gate leakage |
31 | Jun Yang 0002, Youtao Zhang |
Lightweight set buffer: low power data cache for multimedia application. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
multimedia, low power, cache |
31 | Pedro José Marrón, Georg Lausen |
Efficient Cache Answerability for XPath Queries. |
EEXTT |
2002 |
DBLP DOI BibTeX RDF |
cache answerability, XML, XPath, query rewritability, Semistructured data, LDAP |
31 | Baowen Xu, Weifeng Zhang 0001, Hongji Yang |
Mining Users' Two-Dimension Interests from Cache. |
ISMSE |
2002 |
DBLP DOI BibTeX RDF |
Data Mining, Internet, WWW, Cache, Interest Model |
31 | Emmanuel Cecchet |
Whoops! : A Clustered Web Cache for DSM Systems using Memory Mapped Networks. |
ICDCS Workshops |
2002 |
DBLP DOI BibTeX RDF |
memory mapped network, TCP handoff, on the fly compression, cluster, web cache, DSM, SCI |
31 | Ekow J. Otoo, Frank Olken, Arie Shoshani |
Disk cache replacement algorithm for storage resource managers in data grids. |
SC |
2002 |
DBLP DOI BibTeX RDF |
cache replacement algorithm, trace-driven simulation, data staging, file caching, storage resource management |
31 | Yih-Chun Hu, David B. Johnson 0001 |
Ensuring cache freshness in on-demand ad hoc network routing protocols. |
POMC |
2002 |
DBLP DOI BibTeX RDF |
bounded latency, epoch numbers, route cache, ad hoc networks, theory, DSR, Dynamic Source Routing |
31 | Nathan T. Slingerland, Alan Jay Smith |
Cache performance for multimedia applications. |
ICS |
2001 |
DBLP DOI BibTeX RDF |
CPU caches, mulitmedia, simulation, cache, trace driven simulation |
31 | Guang R. Gao, Vivek Sarkar |
Location Consistency-A New Memory Model and Cache Consistency Protocol. |
IEEE Trans. Computers |
2000 |
DBLP DOI BibTeX RDF |
location consistency, cache consistency protocols, Memory consistency |
31 | Yong Yan 0003, Xiaodong Zhang 0001, Zhao Zhang 0010 |
Cacheminer: A Runtime Approach to Exploit Cache Locality on SMP. |
IEEE Trans. Parallel Distributed Syst. |
2000 |
DBLP DOI BibTeX RDF |
symmetric multiprocessors (SMP) and task scheduling, simulation, runtime systems, nested loops, Cache locality |
31 | Lynn Choi, Pen-Chung Yew |
Hardware and Compiler-Directed Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. |
IEEE Trans. Parallel Distributed Syst. |
2000 |
DBLP DOI BibTeX RDF |
performance evaluation, compiler, Computer architecture, shared-memory multiprocessors, cache coherence, memory systems |
31 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design |
31 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. |
FTCS |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
31 | Joo-Yong Kim, Kyoungwoon Cho, Kern Koh |
A Proxy Server Structure and its Cache Consistency Mechanism at the Network Bottleneck. |
COMPSAC |
1999 |
DBLP DOI BibTeX RDF |
network bottleneck, response time, polling, Proxy server, Proxy cache, invalidation |
31 | Hoichi Cheong, Alexander V. Veidenbaum |
A version control approach to Cache coherence. |
ICS |
1989 |
DBLP DOI BibTeX RDF |
parallel task execution, software-directed cache coherence, version control |
31 | Ke Meng, Russ Joseph |
Process variation aware cache leakage management. |
ISLPED |
2006 |
DBLP DOI BibTeX RDF |
gated-VDD, selective cache ways, low power, process variation, leakage, cache management |
31 | Paul Morgan, Richard Taylor, Japheth Hossell, George Bruce, Barry O'Rourke |
Automated data cache placement for embedded VLIW ASIPs. |
CODES+ISSS |
2005 |
DBLP DOI BibTeX RDF |
cache, ASIP, cache optimization, embedded applications |
31 | Jiaxin J. Gao, Dallan Quass, Yiu-Kai Ng |
Selective-Splitting and Cache-Maintenance Algorithms for Associative-Client Caches. |
Distributed Parallel Databases |
2004 |
DBLP DOI BibTeX RDF |
selective splitting, associative-client cache, cache maintenance/behaviors, invalid access prevention |
31 | Afzal Malik, Bill Moyer, Roger Zhou |
Embedded cache architecture with programmable write buffer support for power and performance flexibility. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer |
31 | Hsing Mei, Chun-Y Lu, Chi-Chen Lai |
An Automatic Cache Cooperative Environment Using ICP. |
ITCC |
2002 |
DBLP DOI BibTeX RDF |
Internet Cache Protocol, Cooperative Cache Environment, Querying Filter Mechanism |
31 | Stéphan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, Ronny Ronen |
eXtended Block Cache. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
fetch bandwidth, instruction cache, trace cache, Front-end |
31 | Avi Mendelson, Neeraj Suri |
Cache based fault recovery for distributed systems. |
ICECCS |
1997 |
DBLP DOI BibTeX RDF |
cache based fault recovery, roll-forward fault recovery, split-cache approach, discrete stable storage, explicit synchronization, distributed systems, checkpointing, synchronisation |
31 | Sheng-Kai Hung, Yarsun Hsu |
Striping Cache: A Global Cache for Striped Network File System. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Zhiyong Xu, Yiming Hu, Laxmi N. Bhuyan |
Exploiting Client Cache: A Scalable and Efficient Approach to Build Large Web Cache. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Wei Zhang 0002, Sudhanva Gurumurthi, Mahmut T. Kandemir, Anand Sivasubramaniam |
ICR: In-Cache Replication for Enhancing Data Cache Reliability. |
DSN |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Mohan G. Kabadi, Natarajan Kannan, Palanidaran Chidambaram, Suriya Narayanan, M. Subramanian, Ranjani Parthasarathi |
Dead-Block Elimination in Cache: A Mechanism to Reduce I-cache Power Consumption in High Performance Microprocessors. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Yul Chu, Mabo Robert Ito |
A 2-Way Thrashing-Avoidance Cache (TAC): An Efficient Instruction Cache Scheme for Object-Oriented Languages. |
ICCD |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Izuchukwu Nwachukwu, Krishna M. Kavi, Fawibe Ademola, Chris Yan |
Evaluation of Techniques to Improve Cache Access Uniformities. |
ICPP |
2011 |
DBLP DOI BibTeX RDF |
Cache Indexing, Non-Uniformity of Cache Accesses, Cache Memories, Performance Improvement |
31 | Young Jin Park, Hong Jun Choi, Cheol Hong Kim, Jong-Myon Kim |
Energy-aware Filter Cache Architecture for Multicore Processors. |
DELTA |
2010 |
DBLP DOI BibTeX RDF |
energy consumption, multicore processor, instruction cache, victim cache, filter cache |
31 | Siddhartha V. Tambat, Sriram Vajapeyam |
Page-Level Behavior of Cache Contention. |
IEEE Comput. Archit. Lett. |
2002 |
DBLP DOI BibTeX RDF |
Memory AccessCharacterization, Cache Tags, Cache Contention, Data Cache |
31 | Tien-Fu Chen |
Efficient trace-sampling simulation techniques for cache performance analysis. |
Annual Simulation Symposium |
1996 |
DBLP DOI BibTeX RDF |
efficient trace sampling simulation techniques, cache performance analysis, large cache simulation, space sampling technique, index of locality, trace references, time sampling approach, inter loop intervals, time sampling technique, representative performance results, loop execution, simulation time, small estimate errors, performance evaluation, virtual machines, digital simulation, performance metric, cache storage, stratified sampling, loop iterations, trace reduction |
31 | William Y. Chen, Pohua P. Chang, Thomas M. Conte, Wen-mei W. Hwu |
The Effect of Code Expanding Optimizations on Instruction Cache Design. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
code expanding optimizations, instruction placement, function inline expansion, superscalar optimizations, small caches, medium caches, load forwarding, large caches, C compiler, code expansion, optimisation, cache memory, memory architecture, buffer storage, instruction cache, code optimization, cache design, miss ratio |
31 | Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki |
Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement |
31 | Li Fan, Pei Cao, Jussara M. Almeida, Andrei Z. Broder |
Summary cache: a scalable wide-area web cache sharing protocol. |
IEEE/ACM Trans. Netw. |
2000 |
DBLP DOI BibTeX RDF |
cache sharing, World Wide Web (WWW), bloom filter, Web cache, Web proxy, ICP |
31 | Hamza Ben Ammar |
On models for performance evaluation and cache resources placement in multi-cache networks. (Sur des modèles pour l'évaluation de performance et le placement des ressources de cache dans les réseaux multi-cache). |
|
2019 |
RDF |
|
27 | Sangmin Seo, Jaejin Lee, Zehra Sura |
Design and implementation of software-managed caches for multicores with local memory. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Yangyang Pan, Tong Zhang 0002 |
Improving VLIW Processor Performance Using Three-Dimensional (3D) DRAM Stacking. |
ASAP |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Mahmoud A. Bennaser, Yao Guo 0001, Csaba Andras Moritz |
Data Memory Subsystem Resilient to Process Variations. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos |
Temporal instruction fetch streaming. |
MICRO |
2008 |
DBLP DOI BibTeX RDF |
|
27 | José Baiocchi, Bruce R. Childers, Jack W. Davidson, Jason Hiser |
Reducing pressure in bounded DBT code caches. |
CASES |
2008 |
DBLP DOI BibTeX RDF |
footprint reduction, system-on-chip, code generation, dynamic binary translation |
27 | Jing Zhao 0001, Ping Zhang, Guohong Cao |
On Cooperative Caching in Wireless P2P Networks. |
ICDCS |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Qingsong Wei, Bharadwaj Veeravalli, Lingfang Zeng |
DWC2: A dynamic weight-based cooperative caching scheme for object-based storage cluster. |
CLUSTER |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Chi-Yin Chow, Hong Va Leong, Alvin T. S. Chan |
GroCoca: group-based peer-to-peer cooperative caching in mobile environment. |
IEEE J. Sel. Areas Commun. |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching for embedded processors. |
ACM Trans. Embed. Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, Data prefetching |
27 | Konrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin |
Load Miss Prediction - Exploiting Power Performance Trade-offs. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Mrinmoy Ghosh, Hsien-Hsin S. Lee |
Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. |
ICPADS |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Kyle J. Nesbit, James Laudon, James E. Smith 0001 |
Virtual private caches. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation |
27 | Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann |
Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
27 | George A. Mihaila, Ioana Stanoi |
A Tree for All Seasons. |
IDEAS |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Soontae Kim |
Area-efficient error protection for caches. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Derek Bruening, Vladimir Kiriansky, Timothy Garnett, Sanjeev Banerji |
Thread-Shared Software Code Caches. |
CGO |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Shoaib Kamil 0001, Kaushik Datta, Samuel Williams 0001, Leonid Oliker, John Shalf, Katherine A. Yelick |
Implicit and explicit optimizations for stencil computations. |
Memory System Performance and Correctness |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Jie Tao 0001, Siegfried Schloissnig, Wolfgang Karl |
Analysis of the Spatial and Temporal Locality in Data Accesses. |
International Conference on Computational Science (2) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Kristof Beyls, Erik H. D'Hollander |
Intermediately executed code is the key to find refactorings that improve temporal data locality. |
Conf. Computing Frontiers |
2006 |
DBLP DOI BibTeX RDF |
performance debugger, temporal data locality, program analysis, refactoring, program optimizations, loop transformations |
27 | Lei Li, Chunlei Niu, Haoran Zheng, Jun Wei 0001 |
An Adaptive Caching Mechanism for Web Services. |
QSIC |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Aamer Jaleel, Bruce L. Jacob |
Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions. |
HPCA |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Lawrence Spracklen, Yuan Chou, Santosh G. Abraham |
Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications. |
HPCA |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Ekow J. Otoo, Doron Rotem, Alexandru Romosan, Sridhar Seshadri |
File Caching in Data Intensive Scientific Applications on Data-Grids. |
DMG |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching via bit-differential offset assignment on embedded processors. |
LCTES |
2004 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, data prefetching |
27 | Chuanjun Zhang, Jun Yang 0002, Frank Vahid |
Low Static-Power Frequent-Value Data Caches. |
DATE |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Vlad-Mihai Panait, Amit Sasturkar, Weng-Fai Wong |
Static Identification of Delinquent Loads. |
CGO |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos |
Accurate and Complexity-Effective Spatial Pattern Prediction. |
HPCA |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Yutao Zhong 0001, Steve Dropsho, Chen Ding 0001 |
Miss Rate Prediction across All Program Inputs. |
IEEE PACT |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Yingwu Zhu, Yiming Hu |
Disk Built-in Caches: Evaluation on System Performance. |
MASCOTS |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Ravishankar K. Iyer |
Performance implications of chipset caches in web servers. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Gokhan Memik, Glenn Reinman, William H. Mangione-Smith |
Reducing energy and delay using efficient victim caches. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
miss detection, network processors, victim caches |
27 | Umut A. Acar, Guy E. Blelloch, Robert D. Blumofe |
The Data Locality of Work Stealing. |
Theory Comput. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
27 | S. H. Tadas, Chaitali Chakrabarti |
Architectural approaches to reduce leakage energy in caches. |
ISCAS (1) |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Chad Huneycutt, Joshua B. Fryman, Kenneth M. Mackenzie |
Software Caching using Dynamic Binary Rewriting for Embedded Devices. |
ICPP |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Ratan K. Guha, James Zijun Wang |
Improving Web Access Efficiency Using P2P Proxies. |
IWDC |
2002 |
DBLP DOI BibTeX RDF |
|
27 | Peter Petrov, Alex Orailoglu |
Performance and power effectiveness in embedded processors customizable partitioned caches. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
27 | János Csirik, Csanád Imreh, John Noga, Steven S. Seiden, Gerhard J. Woeginger |
Buying a Constant Competitive Ratio for Paging. |
ESA |
2001 |
DBLP DOI BibTeX RDF |
|
27 | Wei-Kuo Liao, Chung-Ta King |
Proxy Prefetch and Prefix Caching. |
ICPP |
2001 |
DBLP DOI BibTeX RDF |
|
27 | Murali Annavaram, Jignesh M. Patel, Edward S. Davidson |
Data prefetching by dependence graph precomputation. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
|
27 | Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos, George I. Stamoulis |
Architectural and compiler techniques for energy reduction in high-performance microprocessors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
27 | Xiao-Yu Hu, Pablo Rodriguez 0001, Ernst W. Biersack |
Performance Study of Satellite-Linked Web Caches and Filtering Policies. |
NETWORKING |
2000 |
DBLP DOI BibTeX RDF |
Satellite Distribution, Filtering Policies, World-Wide Web, Web Caching |
|
|